基于vhdl数字钟(直接运行)设计说明书.doc_第1页
基于vhdl数字钟(直接运行)设计说明书.doc_第2页
基于vhdl数字钟(直接运行)设计说明书.doc_第3页
基于vhdl数字钟(直接运行)设计说明书.doc_第4页
基于vhdl数字钟(直接运行)设计说明书.doc_第5页
已阅读5页,还剩43页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

沈阳理工大学VHDL课程设计摘要随着基于CPLD的EDA技术的发展和应用领域的扩大和深入,EDA技术在电子信息、通信、自动控制、应用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断的了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是基于VHDL的数字时钟。采用EDA作为开发工具,VHDL语言作为硬件描述语言,QUARTUS程序运行的平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,降低了开发的难度。关键字:CPLD 电子钟 VHDL 目录1 引言12 设计要求23系统设计方案23.1 系统分析23.2 系统总体原理图34 设计模块44.1 总体流程图44.2顶层文件设计54.3 24进制加法计数器设计104.4 60进制加法计数器设计114.5 译码显示模块设计134.6 重置时间模块设计145 仿真调试176心得体会187 参考文献191 引言数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。数字钟从原理上说就是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制作数字时钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的应用及使用方法。且由于数字钟包括组合逻辑电路和时序电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。12 设计要求 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分60进制计数,即从0到59循环计数,时钟24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节秒、分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以数码管秒钟每变化一次是一秒钟。3系统设计方案3.1 系统分析 系统分析:在数字时钟中,要有计数模块来实现时间的增加,所以要用一个24进制的计数器来表示小时,用两个60进制的计数器分别表示分钟和秒钟。同时在置数时根据模式和set键可以分别产生小时、分钟和秒钟的计数使能。还要有显示模块来显示计数器中的数据(所要显示的时间)。3.2 系统总体原理图 系统的整体设计原理图4 设计模块4.1 总体流程图 开始正常计时 重置时间间? 否 时重置 是分重置秒重置正常计时4.2顶层文件设计通过调用底层模块实现时钟的功能。通过调用一次counter24完成对小时的计数,通过调用两次counter60分别完成分钟和秒的计数,通过调用一次display完成对时间显示的译码,通过调用一次adjuster来完成两个按键对时间的设置。 4.3 24进制加法计数器设计 通过输入的进位的标志来进行计数。分两位来显示:一位表示小时的个位(模十计数器)一位表示小时的十位(模二计数器)。当计数到24时会自动清零。4.4 60进制加法计数器设计 通过输入的进位的标志来进行计数。分两位来显示:一位表示秒钟和分钟的个位(模十计数器)一位表示秒钟和分钟的十位(模六计数器)。当计数到60时会自动进一位。4.5 译码显示模块设计通过编写译码模块来实现将上面计数器所产生的数来转换成能在数码管上显示对应的数字。4.6 重置时间模块设计 通过设置两个键来实现对时间的调整,其中一个键分别记录四个模式:正常计数、小时调整、分钟调整、秒钟调整。另一个键来调整时间。4.7 代码实现 24进制加法计数器:- counter24.vhd-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY counter24 ISPORT(- 输入1Hz时钟CLK1HZ: INSTD_LOGIC;- 计数使能EN: INSTD_LOGIC;- BCD输出LOW: OUTSTD_LOGIC_VECTOR(3 DOWNTO 0);HIGH: OUTSTD_LOGIC_VECTOR(3 DOWNTO 0);END counter24;ARCHITECTURE rtl of counter24 ISSIGNAL LOW_REG: STD_LOGIC_VECTOR(3 DOWNTO 0):=0000;SIGNAL HIGH_REG: STD_LOGIC_VECTOR(3 DOWNTO 0):=0000;SIGNAL CLR: STD_LOGIC:=0;BEGIN- 个位计数-LOW_PROC:PROCESS(CLK1HZ,EN,CLR)BEGINIF rising_edge(CLK1HZ) THENIF EN = 1 THENIF LOW_REG = 1001 OR CLR = 1 THENLOW_REG = 0000;ELSELOW_REG = LOW_REG + 1;END IF;END IF;END IF;END PROCESS; LOW = LOW_REG;- 十位计数-HIGH_PROC:PROCESS(CLK1HZ,EN,CLR)BEGINIF rising_edge(CLK1HZ) THENIF EN = 1 THENIF CLR = 1 THENHIGH_REG = 0000;ELSIF LOW_REG = 1001 THENHIGH_REG = HIGH_REG + 1;END IF;END IF;END IF;END PROCESS;HIGH = HIGH_REG; CLR = 1 WHEN LOW_REG = 0011 AND HIGH_REG = 0010 ELSE 0; END rtl; 60进制加法计数器: - counter60.vhd-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY counter60 ISPORT(- 输入1Hz时钟CLK1HZ: INSTD_LOGIC;- 计数使能EN: INSTD_LOGIC;- 进位输出ENOUT: OUTSTD_LOGIC;- BCD输出LOW: OUTSTD_LOGIC_VECTOR(3 DOWNTO 0);HIGH: OUTSTD_LOGIC_VECTOR(3 DOWNTO 0);END counter60;ARCHITECTURE rtl of counter60 ISSIGNAL LOW_REG: STD_LOGIC_VECTOR(3 DOWNTO 0):=0000;SIGNAL HIGH_REG: STD_LOGIC_VECTOR(3 DOWNTO 0):=0000;BEGIN- 个位计数-LOW_PROC:PROCESS(CLK1HZ,EN)BEGINIF rising_edge(CLK1HZ) THENIF EN = 1 THENIF LOW_REG = 1001 THENLOW_REG = 0000;ELSELOW_REG = LOW_REG + 1;END IF;END IF;END IF;END PROCESS; LOW = LOW_REG;- 十位计数-HIGH_PROC:PROCESS(CLK1HZ,EN)BEGINIF rising_edge(CLK1HZ) THENIF EN = 1 THENIF LOW_REG = 1001 THENIF HIGH_REG = 0101 THENHIGH_REG = 0000;ELSEHIGH_REG = HIGH_REG + 1;END IF;END IF;END IF;END IF;END PROCESS;HIGH = HIGH_REG; - 计数值为23时,进位输出ENOUT out_data out_data out_data out_data out_data out_data out_data out_data out_data out_data out_data = 0000000;END CASE;END PROCESS;END rtl;调时模块代码实现:- adjuster.vhd-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY adjuster ISPORT(- 输入时钟1HzCLK1HZ: INSTD_LOGIC;- 双键校时:SET & MODESET: INSTD_LOGIC;MODE: INSTD_LOGIC;- 计数使能,接VCCEN: INSTD_LOGIC;- 秒计数器进位输出S_ENOUT: INSTD_LOGIC;- 分计数器进位输出M_ENOUT: INSTD_LOGIC;- 输出信号CLK: OUTSTD_LOGIC;- 输出使能S_CE: OUTSTD_LOGIC;M_CE: OUTSTD_LOGIC;H_CE: OUTSTD_LOGIC);END adjuster;ARCHITECTURE rtl of adjuster ISSIGNAL SEL: STD_LOGIC;SIGNAL SCE_REG: STD_LOGIC;SIGNAL MCE_REG: STD_LOGIC;SIGNAL HCE_REG: STD_LOGIC;SIGNAL CON: INTEGER RANGE 0 TO 3 := 0;BEGIN- 模为4的计数器-COUNT:PROCESS(MODE,SET)BEGINIF rising_edge(MODE) THENIF CON = 3 THENCON = 0;ELSECON SEL = 1; SCE_REG = 0; MCE_REG = 0; HCE_REG SEL = 0; SCE_REG = 1; MCE_REG = 0; HCE_REG SEL = 0; SCE_REG = 0; MCE_REG = 1; HCE_REG SEL = 0; SCE_REG = 0; MCE_REG = 0; HCE_REG SEL = 0; SCE_REG = 0; MCE_REG = 0; HCE_REG S_CE = SCE_REG; M_CE = MCE_REG; H_CE = HCE_REG; CLK S_CE = EN; M_CE = S_ENOUT; H_CE = M_ENOUT; CLK S_CE = EN; M_CE = S_ENOUT; H_CE = M_ENOUT; CLK CLK1HZ,- 双键校时:SET & MODESET= SET,MODE= MODE,- 计数使能,接VCCEN= VCC_CON,- 秒计数器进位输出S_ENOUT= S_ENOUT,- 分计数器进位输出M_ENOUT= M_ENOUT,- 输出信号CLK= CLK,- 输出使能S_CE= S_CE,M_CE= M_CE,H_CE= H_CE); VCC_CON CLK,- 计数使能EN= S_CE,- 进位输出ENOUT= S_ENOUT,- BCD输出LOW= SL,HIGH= SH);MIN_CONTROL: counter60PORT MAP(- 输入1Hz时钟CLK1HZ= CLK,- 计数使能EN= M_CE,- 进位输出ENOUT= M_ENOUT,- BCD输出LOW= ML,HIGH= MH);HOUR_CONTROL: counter24PORT MAP(- 输入1Hz时钟CLK1HZ= CLK,- 计数使能EN= H_CE,- BCD输出LOW= HL,HIGH= HH);DIS_LED1: displayPORT MAP(- BCD码输入in_data= SL,- 七段译码输出out_data= LED1 );DIS_LED2: displayPORT MAP(- BCD码输入in_data= SH,- 七段译码输出out_data= LED2 );DIS_LED3: displayPORT MAP(- BCD码输入in_data= ML,- 七段译码输出out_data= LED3 );DIS_LED4: displayPORT MAP(- BCD码输入in_data= MH,- 七段译码输出out_data= LED4 );DIS_LED5: displayPORT MAP(- BCD码输入in_data= HL,- 七段译码输出out_data= LED5 );DIS_LED6: displayPORT MAP(- BCD码输入in_data= HH,- 七段译码输出out_data= LED6 );END rtl;5 仿真调试1)24进制模块仿真如下图:说明:根据时钟的到来,计数器从低位器开始加一计数,一直计数到24截止,然后归零。2)60进制模块仿真如下图:说明:根据时钟的到来,计数器从低位器开始加一计数,一直计数到60截止,然后归零。3) 译码显示模块仿真如下图:说明:根据输入的四位的二进制数字转换成相应与之对应能在数码管上显示的值。如图当四位二进制数为0000时,它译码转换为0111111 。4) 重置时间模块仿真如下图:说明:根据mode来选择工作的模式,通过set来改变数值,如图,mode改变两次以后,根据set的变化,m_ce开始有了变化,说明现在开始设定分钟的值。5) 总体仿真如下图:说明:当mode没有按下的时候,计数器正常工作,当mode第一次按下的时候开始根据set的频率来增加秒的数值,第二次按下的时候开始调节分钟。6心得体会经过努力,简易电子时钟的的设计基本完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:在本次的课程设计过程中,我也发现了很多的问题,给我的感觉就是难以理解,很不顺手,看似很简单的电路,要动手把它设计出来,是一件很难的事情,主要是原因是我们没有经常动手设计电路的原因。这就要求我们在以后的学习中更重要的是将书本上学到的知识转到实际中去,这不论对我们以后的学习还是工作都有很大的帮助,我相信通过这次的课程设计,在下一阶段的学习中我会更加努力,力争把这门课学好、学精。同时,通过本次的课程设计,巩固了我们所学的专业知识,通过这次设计使我对数字系统结构也有了更进一步的了解和认识。7 参考文献【1】数字电路设计基础同济大学出版社 崔葛瑾主编。【2】数字电路一体化教程清华大学出版社 侯建军主编。【3】数字电路典型试验范例剖析人民邮电出版社 王泽民、赵博主编。 39大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论