基于USB口的FPGA器件编程方法--毕设论文.ppt_第1页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第2页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第3页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第4页
基于USB口的FPGA器件编程方法--毕设论文.ppt_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于usb口的fpga器件编程方法,导 师: 吴健 答辩人: 张荣建 专 业: 测控技术与仪器,站长素材 sc.chinaz.com,研究背景,在新型智能手机、移动终端、pda等便携设备的开发过程中,以及科研过程中fpga的使用非常的普遍。但是对fpga的编程方法通常是通过串并口进行文件下载,这种传统方式受到下载速度或设备尺寸等因素的限制,无法满足研发需求,需要一种更具可行性,适用性和稳定性的方案。由于usb的广泛应用,因此研究一个采用usb接口的下载方法具有广泛的现实意义。,课题方向,课题主要是设计一种基于usb接口的fpga下载线来实现对目标fpga的配置。要求下载器具有良好的 稳定性和适用性。 其中包括方案的确定和具体 方案实现的设计。包含软件和硬件。,课题研究流程,1.课题分析,搜集资料 2.模块化课题内容,对每个模块进行资料查阅 3.开始对每个模块进行设计 4.对设计内容进行 调试 5.写报告进行总结,模块划分,论文要点,usb ,是英文universal serial bus(通用串行总线)的缩写,而其中文简称为“通串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。是应用在pc领域的接口技术。usb接口支持设备的即插即用和热插拔功能,jtag接口介绍 jtag(joint test action group)即联合测试行动组,是一种国际标准测试协议(ieee 1149.1兼容)。标准的jtag接口是4线:tms、tck、tdi、tdo,分别为模式选择、时钟、数据输入和数据输出线。,系统方案设计,系统原理,结构,硬件设计,器件的选择,为了搭建通过usb接口下载fpga程序的功能,usb芯片采用了ft245rl实现usb数据的转换功能,采用ams1117作为稳压器,将5v电压调整为3.3v。采用epm7064cpld器件实现并行io口和jtag之间数据的逻辑转换,用max3378为缓冲芯片。,软件设计,1.usb驱动程序。 2.usb固件芯片程序是对芯片进行的一些基本设置来使其与主机通信。 3.cpld程序部分。,硬件电路设计,稳压电路: ams1117提供电流限制和热保护。输出端需要一个至少10uf的钽电容来改善瞬态响应和稳定性,usb接口电路设计,usb接口,它连接主机和可编程逻辑器件,主要功能是进行usb和并行io 口之间的数据格式转换,jtag接口,它连接usb控制芯片和需要编程的逻辑器件,其它包括一些必要的时钟电路和电压转换电路,cpld电路设计,cpld电路进行并行io口和jtag之间数据的逻辑转换,通过对cpld进行编程来实现,晶振电路,在此我们采用24m的晶体振荡器。晶振输出端连接的电阻作用是限流,保证放大器工作在高增益的线性区。输入端对地电容是为了滤波、减少干扰,整体,usb驱动程序,ftdi公司为ft245r芯片提供了2种驱动程序:vcp驱动和d2xx驱动。使用vcp驱动程序,数据传输速率可达300 kbs;使用d2xx驱动程序,数据传输速率可达1 mbs。 设计中使用使用第二种方式。 驱动程序要包含的一些文件,usb芯片的固件程序,usb芯片的固件程序是对usb芯片做初始化设置。设置文件为altera.ept文档。 使用mprog 3.0a软件来系在到usb芯片中,cpld程序设计,cpld在收到usb控制芯片传送来的数据后,cpld程序对数据进行解析,然后转换为符合ieee1149.1标准的编程数据和指令,从tck、tms和tdi串行输出到要编程的可编程逻辑器件。,端口介绍,entity cpld is port ( clk : in std_logic; nrxf : in std_logic; ntxe : in std_logic; b_tdo : in std_logic; b_asdo : in std_logic; b_tck : buffer std_logic; b_tms : buffer std_logic; b_nce : buffer std_logic; b_ncs : buffer std_logic; b_tdi : buffer std_logic; b_oe : buffer std_logic; nrd : out std_logic; wr : out std_logic; d : inout std_logic_vector(7 downto 0) ); end cpld; 以上进行实体说明,进行端口说明,即对信号名称(如clk,nrxf),数据类型(如std_logic),i/o方向进行描述。,新建工程,新建工程来进行编译和仿真,调试设计,调试的目的是验证以下系统各部分功能:验证usb下载调试器的下载功能; 调试的内容应包括: 1、驱动程序段安装。 2、ft245rl程序的烧录。 3、cpld程序的下载。 4、fpga程序的下载。,结论,论文进行了系统方案设计,包括硬件电路设计和软件编程两部分。硬件电路以cpld器件和集成了时钟、eeprom的ft245rl为基础,设计了usb接口电路,时钟电路,稳压电路,缓冲电路以及clpd电路。利用vhdl硬件描述语言编写cpld

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论