fpga闹钟程序_fpga时钟模块应用_第1页
fpga闹钟程序_fpga时钟模块应用_第2页
fpga闹钟程序_fpga时钟模块应用_第3页
fpga闹钟程序_fpga时钟模块应用_第4页
fpga闹钟程序_fpga时钟模块应用_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1 闹钟 1 功能概述 闹钟是一种既能显示时间,又能根据人们设定的时间,发出铃声、乐曲等提醒信号的计时工具。现在,闹钟几乎已经是上学、上班族必不可少的一个工具,主要应用在电脑、手机、电子表等方面。在 20 小节数字时钟里我们介绍过,与传统机械式计时工具相比,数字计时系统具备体积小、重量轻、抗干扰能力强、对环境要求高、高精确性、容易开发等特征。数字计时间高精度的特征,在闹钟功能上的应用优势更为突出。 科学地划分模块,充分理顺模块间的相互关系对于 闹钟设计为例,我们通过建立四个清晰直观的 模块 ( 数码管显示模块,矩阵键盘扫描模块,时钟计数模块,闹钟设定 模块),以及建立完善的信号列表和运用现电子闹钟时、分、秒计时以及设置、修改、重置等功能。 具体功能要求如下: 本工程包括矩阵键盘和数码管显示模块,共同实现一个带有闹钟功能、可设置时间的数字时钟。具体功能如下: 1. 数码管可以显示时十位、时个位、分十位、分个位、秒十位、秒个位。 2. 上电后,数码管显示 000000,并开始每秒计时。 3. 按下按键 1 进入时间设置状态。再按下按键 1 退出时间设置状态,继续计时。 4. 在时间设置状态,通过按 键 2 来选择设置的时间位,在 05 之间循环选择。 5. 在时间设置状态,通过按键 3 来对当前选择的时间位进行加 1。 6. 在计时状态下,按下按键 14,进入闹钟时间点设置状态 ; 再按下按健 15,退出闹钟设置状态。 7. 在闹钟设置状态,按下按键 13 选择设置的时间位,此时可以按下所需要的按键序号设置对应闹钟时间。 8. 当前时间与所设置的时间点匹配上了,蜂鸣器响应 5 s。 2 2 设计思路 我们把工程分成四个模块,分别是数码管显示模块,矩阵键盘扫描模块,时钟计数模块,闹钟设定模块。 模块划分以及顶层模块信号列表如下图: 数码管显示模块时钟计数模块矩阵键盘模块数码管矩阵键盘顶层模块F P G 信号名 I/O 位宽 说明 1 系统工作时钟 50 1 系统复位信号,低电平有效。 4 矩阵键盘按键列 信号 4 矩阵键盘按键行 信号 1 蜂鸣器控制信号 实现将时钟数据或者闹钟数据显示到七段译码器上的功能。 七段译码器引脚图: 3 根据七段译码器的型号共阴极或者共阳极,给予信号 0 点亮对应的 ;一个八段数码管称为一位,多个数码管并列在一起可构成多位数码管,它们的段选( a,b,c,d,e,f,g,在一起,而各自的公共端称为位选线。显示时,都从段选线送入字符编码,而选中哪个位选线,那个数码管便会被点亮。 例如, 如果数码管显示数字 0,那么共阴数码管的字符编码为 00111111,即共阳数码管的字符编码为 11000000。 信号列表如下: 信号名 I/O 位宽 说明 1 系统工作时钟 50 1 系统复位信号,低电平有效。 32 每个数码管的时间数据 8 数码管位选信号 8 数码管段选信号 在轮流显示过程中,每位数码管的点亮时间为 1 2于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感 。 4阵键盘 , 实现了矩阵键盘的扫描并使用以及按键消抖功能。通过行扫描法得到按下的键的位置信息。 信号列表如下: 信号名 I/O 位宽 说明 1 系统工作时钟 50 1 系统复位信号,低电平有效。 4 矩阵键盘列信号 4 矩阵键盘行信号 4 按键位置信号 1 按键有效信号 按下 按键 1 则进入时钟数字调节界面,此时利用按键 2 来位 4 选,按键 3 来调节数字,按一次按键 3 则加 1,再次按下按键 1 则恢复计数。 信号列表如下: 信号名 I/O 位宽 说明 1 系统工作时钟 50 1 系统复位信号,低电平有效。 4 输入按键值 1 按键输入有效指示 24 时钟数据输出 24 时钟数据输出有效 实现设定闹钟时间功能,按下按键 14 则进入闹钟时间设定界面, 并 利用按键 13 来 进行 位选 ; 然后按下对应的按键则设定对应的数字 ; 按下按键 15 则退出闹钟设定界面 。 当时钟数据和闹钟数据相同时,也就是到达设定时间时,蜂鸣器响 5s。 信号列表如下: 信号名 I/O 位宽 说明 1 系统工作时钟 50 1 系统复位信号,低电平有效。 24 当前输入时间 1 当前输入时间有效 4 输入按键值 1 按键输入有效指示 24 设置闹钟时间点 1 设置状态标志 1 蜂鸣器控制信号 3 程序设计 工程模块 1 2 3 4 , , 5 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 ; = 6 ; = 50000000 ; 1000000 ; ; ; 3:0 ; 7:0 ; 5:0 ; 3:0 ; ; 3:0 ; ; 23:0 ; 23:0 ; ; ; 23:0 ; #(, , , ); #( , , ); #(, , , , , , 6 63 64 65 66 67 68 69 70 71 72 73 74 75 ); ( ); 码管显示模块 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 , , , , ); = 8 ; = 8 ; = 10 ; = 10; = 8 = 8 = 8 = 8 = 8 = 8 = 8 = 8 = 8 = 8 = 8 ; ; ; 1:0 ; 1:0 ; 1:0 ; 1:0 ; 1:0 ; 1:0 ; 4 ; ; 7 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 ; (or if(1b0)4) y = 2; y = 3; (or if(1b0)0; 15 闹钟设定模块 218 219 220 221 222 223 224 225 if(1; 0; 16 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 , , , , , , ; 0_000_000 ; ; ; 23:0 ; ; 3:0 ; ; ; ; ; ; 3:0 ; ; 23:0 ; ; ; ; ; ; ; ; 2:0 ; 25:0 ; 2:0 ; ; ; ; ; ; ; ; (or if(1b0)1; if(0; 17 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 if(1; (or if(1b0)23if(if(230; (or if(1b0)0; if(if(0; ; 5&(or if(1b0)0; if(if(0; ; if(0; 13; 6(or if(1b0)24if(if(0) :0=if(1) 18 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 :4=if(2) 1:8=if(3) 5:12=if(4) 9:16=if(5) 3:20=(0=0); (0=); (0=); (0=); (0=); (or if(1b0)0; if(if(0)if(1; 0; if(1)if(1; 0; if(2)if(1; 0; if(3)if(1; 0; if(4)if(3:20=2)if(1; 0; if(1; 0; 19 173 174 175 176 177 178 179 180 181 182 183 184

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论