《组Verilog运算符》PPT课件.ppt_第1页
《组Verilog运算符》PPT课件.ppt_第2页
《组Verilog运算符》PPT课件.ppt_第3页
《组Verilog运算符》PPT课件.ppt_第4页
《组Verilog运算符》PPT课件.ppt_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

,讨论Verilog HDL的主要运算符 并与VHDL的运算符进行比较,参考文献:IEEE standard for Verilog hardware description language(1364-2005),逻辑运算符,按位运算符,缩位运算符,移位运算符,目录,运算符的优先级,算术运算符,关系运算符,相等运算符,条件运算符,拼接运算符,复制运算符,运算符的优先级,算术运算符 + - / * % *,a+b a-b a/b a*b a%b=(a/b)a的b次方),在VHDL中,算术运算符还包括REM取余、ABS取绝对值,%求模(在VHDL中运算符是“MOD“) 11%3 结果为2 12%3 结果为0 -10%3 结果为-1 11%-3 结果为2 -整数相除,结果的符号与被除数相同,数值为余数,10%3.75 结果为2.5 -10%3.75=10-floor(10/3.75)*3.75=10-2*3.75=2.5,关系运算符,1,2,3,4,ab,ab,a=b,a=b,相等运算符,1,2,逻辑相等= 逻辑不等!=,全等= 不全等!=,逐位比较 对x,z不进行比较;若包含x,z,则比较结果为x !=为=的结果取非,逐位比较 对x,z也进行比较,完全相同则结果为真 !=为=的结果取非,【举例】 A=4bxx01,B=4bxx01 则A=B运算结果为x A=B运算结果为1,逻辑运算符,! not & and | or,逻辑操作符的结果为1位逻辑值,1 for true,0 for false,逻辑操作符只对逻辑值进行运算 操作数每一位都为零,则其逻辑值为false 操作数有任一位为1,则其逻辑值为true 操作数只包含0、x、z,则其逻辑值为x,!将nonzero or true的操作数转换为0;将0转换为1,【举例】 if(!inword)等同于if(inword=0),按位运算符,缩位运算符,第0位与第1位进行运算,得到结果再与第1位进行运算,得到结果与更高位进行运算,.,依次进行下去 是单目运算符,结果为1位,移位运算符,1,2,逻辑移位运算符,算术移位运算符,右边的缺位都填0,左边的缺位填0 左边的缺位填MSB(i.e. 有符号数的符号);若MSB是x或z,则填x,结果为0100,结果为1110,条件运算符,若expression1判定false(0),则执行expression3 若判定为true(1),则执行expression2 若为模糊值(x,z),则expression2和3都被执行,执行结果按下表按位计算出来,若expression2或3的结果中包含实数,则最终令执行结果为0,assign out = (sel=0)?a:b; 若sel为0则将a赋给out 若sel为1则将b赋给out 若sel为x或z则 若a,b同为1则将1赋给out 若a,b同为0则将0赋给out 若a,b值不同或有x或z则将x赋给out,expression1?expression2:expression3;,拼接运算符,拼接采用.,.,.,.,【例2】 1b1,3b101 等价于1b1,1b1,1b0,1b1 其值为4b1101,【例1】 “hello“,“ “,“world“ 其值为“hello world“,复制运算符,复制的遍数要复制的东西,【例3】 4w 等价为w,w,w,w,【例4】 b,3a,b 等价为b,a,b,a,b,a,b,在V

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论