MSP430控制的基于DDS的波形发生器设计.docx_第1页
MSP430控制的基于DDS的波形发生器设计.docx_第2页
MSP430控制的基于DDS的波形发生器设计.docx_第3页
MSP430控制的基于DDS的波形发生器设计.docx_第4页
MSP430控制的基于DDS的波形发生器设计.docx_第5页
已阅读5页,还剩46页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

华中科技大学电子与信息工程系2011年ti杯电子设计大赛项目总结报告题 目:msp430控制的基于dds的波形发生器设计 组 长:何永天(提高0801班 u200814111) 组 员:李恋阳(提高0801班 u200812892) 袁 园(提高0802班 u200813900) 杨春风(电信0804班 u200812791)吴文彬(电信0806班 u200812863) 指导老师:陈林 日 期:2011.7.8目 录1设计目标41.1基本功能41.2扩展功能42团队组成53系统设计方案53.1几种初步方案53.1.1方案一53.1.2方案二63.1.3方案的比较63.2器件选型73.2.1单片机73.2.2数模转换dac73.2.3压控增益放大器73.2.4运算放大器84系统硬件设计与实现84.1系统框图84.2系统电路图94.3器件清单94.4模块分析104.4.1dds104.4.2幅度控制114.4.3放大稳压134.4.4自选波形144.4.5键盘144.4.6lcd显示155系统软件设计与实现165.1总体设计框图165.2初始化175.3dds185.4lcd显示195.5dac215.6键盘226系统测试与结果266.1总体方案选择266.1.1原方案266.1.2遇到的障碍:276.1.3改进方案:276.2硬件电路测试276.2.1vca810压控放大器276.2.2opa1632286.2.3级联286.2.4关于换备选方案286.3系统联调结果286.3.1三角波、正弦波、方波测试结果286.3.2扩展波形的测试结果326.3.3扩展扫频功能的测试结果337结束语337.1目标完成情况337.2感想338参考文献349附录349.1电路图349.2源码清单35511. 设计目标设计并制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的特定波形,并根据用户输入选择产生指定类型与参数的波形,同时在必要的辅助输出显示设备上显示产生波形的类型与参数。1.1 基本功能l 具有产生正弦波、方波、三角波三种周期性波形的功能;l 输出波形的频率范围为100hz-20khz(非正弦波频率按10次谐波计算);重复频率可调,频率步进间隔100hz。l 输出波形幅度范围0-5v(峰峰值),可按步进0.1v(峰峰值)调整。l 由外界按键输入选择产生波形的种类、频率与峰峰值;l 界面显示输出波形的类型、重复频率(周期)和幅度。1.2 扩展功能l 输出波形频率范围扩展至100hz-200khz。l 用键盘或其它输入装置产生任意波形。l 增加稳幅输出功能,当负载变化时,输出电压幅度变化不大于3%(负载电阻变化范围:100)。l 可产生单次或多次(1000次以下)特定波形(如产生1个半周期三角波输出)。l 具有掉电存储功能,可存储掉电前用户编辑的波形和设置。l 其它(如增加频谱分析、失真度分析、频率扩展大于200khz、扫描输出等功能)。2 团队组成我们的工作分为以下模块(详细分工在个人报告中叙述)l 前期:收集资料、方案设计、器件选型 l 硬件部分:电路参数设计、proteus制图及硬件仿真、各单级调试、pcb布线、通用版布线、电路焊接、排查焊接错误 l 软件部分: lcd模块、键盘扫描模块、dds模块、调频、扫频、模块间接口设计与实现、自选波形模块(da部分)、软件联调l 后期:硬件级联调试、软件联调报告书写、文档排版、幻灯片演示、视频制作、答辩展示3 系统设计方案3.1 几种初步方案3.1.1 方案一由msp43f149单片机产生三角波、正弦波和方波,并且控制波形之间的转换,以及波信号的频率和幅度。用lcd液晶显示模块显示波形的种类和相关参数。单片机输出数字信号,通过dac进行数模转换。采用低通滤波器滤除dac转换过程中形成的高频小锯齿波,运算放大器进行放大,电压跟随器稳幅,最后送入示波器显示信号。3.1.2 方案二用dds(直接数字合成 direct digital synthesis)芯片产生三角波、正弦波和方波,并用msp430单片机送控制字给dds以控制波形之间的转换,以及波信号的频率,通过ti公司的vca810压控放大器芯片进行调幅。然后通过滤波器滤除高频噪声,通过放大电路对信号进行放大,之后通过缓冲对信号进行稳幅,最后送入示波器显示信号,用单片机产生任意波形和其他扩展功能。3.1.3 方案的比较方案一的基本思路是用单片机发出指令输出相应的数字量,然后通过da产生要求的模拟量,但是存在一个致命的问题,那就是本次的频率基本要求为100hz-20khz,扩展要求是100-200khz,但是通常的msp430系列单片机的晶振一般为8m左右,指令周期是机器周期,可达1/8ms,所以对生成方波来说还可以,而要合成三角波或正弦波则存在很多问题,程序实现难度很大,所以我们最终放弃了这个方案。方案二的基本思路是走两条路,使用dds芯片产生三种基本波形,用单片机实现任意波形以及控制和显示等功能,容易对功能进行扩充,且外围电路简单,系统可靠性较高,编程实现较为简单,整个系统成本较低,我们最终选择了这个方案。信号初步产生之后都要经过滤波、放大、缓冲输出等电路,各个方案下的设计大同小异,主要还是需要稳定可靠,带宽很宽。3.2 器件选型3.2.1 单片机选用msp430f5438单片机,因为在种类和数量繁多的单片机中,ti的msp430系列颇具特色,并具有良好的性能。3.2.2 数模转换dac按照设计好的方案,扩展功能中的任选波形用da来实现,有2种方法:外接dac0832或者自带的dac5571。l 自带的dac5571优点:使用较简单(因为有完整的test代码和文档,而且已经集成在5438的开发板上);缺点:速度上不去(因为5438自带的操作da用来产生任选波形的代码要放在main中,但main函数比较庞大,影响了任选波形的频率)l 外接dac0832优点:可以自己去设计da的驱动函数,产生的任选波形的频率较高;缺点:设计和搭电路较复杂(0832的输出端还要加运放来将电流输出转换成电压输出)我们选择第一种自带da3.2.3 压控增益放大器比较两个选择:vca810和vca822。具体来说,我们比较它们的增益带宽积,输出(驱动)电流,及我们对芯片的熟悉程度。最后决定选择vca810,利用dac产生控制电压改变放大器的增益。控制电压和放大器增益成线性,方便实现精确的增益控制。vca810的最小增益步进仅取决于 dac 的位数,可以实现增益微调,为闭环改善放大器的性能提供方便。3.2.4 运算放大器主要考虑因素有增益带宽积,输出驱动电流(表明带负载的能力),噪声电压,电路复杂程度,对芯片的熟悉程度和价格,对于常用的几个比较结果如下:l opa1632:增益带宽积:180mhz; 输出驱动电流:150mal opa551:带宽:3mhz;,增益带宽积:3mhz; 输出驱动电流:200mal opa552:带宽:12mhz,增益带宽积:3mhz; 输出驱动电流:200mal ne5532:小信号带宽:10mhz, 输出驱动电流:60mal ths4031:增益带宽积:100mhz, 输出驱动电流:90mal ths4521:带宽:145mhz, 输出驱动电流:100mal ua741:增益带宽积:1mhz, 输出驱动电流:25mal 综合考虑,opa1632各项性能比其他芯片要好很多,因此决定选用opa16324 系统硬件设计与实现4.1 系统框图 由msp430单片机通过3个spi接口控制ad9833产生各种频率的正弦波、三角波、方波,经放大整形电路后输出,并通过独立的按键切换输出的波形,也可改变频率和幅度以及频率和幅度变化的步进(通过软硬件的配合)。通过tft液晶模块和独立按键进行人机交互。系统上电后检查启动按键是否按下,当启动按下被按下时,启动各个模块,与此同时检查被按下的按键值。当检查到不同的按键被按下时,由msp430通过spi控制ad9833进行相应的改变。4.2 系统电路图其中dds模块较为复杂,上图只是外部接口。其详细电路设计如下图所示:4.3 器件清单l msp430f5438开发板(1个)l vca810压控增益放大器(1个)l opa1632放大器(3个)l ad9833(1个)l ua741放大器(1个)l 电阻,电容,导线若干4.4 模块分析4.4.1 dds 1) ad9833介绍ad9833是adi公司的一款低功耗的dds器件,能够输出正弦波、三角波、方波。ad9833无需外接元件,输出频率和相位可通过软件编程设置,易于调节。其频率寄存器为28位,主频时钟为25 mhz时,其精度为01 hz;主频时钟为l mhz时精度可达0004 hz.ad9833内部有5个可编程寄存器:1个16位控制寄存器,用于设置器件_t作模式;2个28位频率寄存器和2个12位相位寄存器,分别用于设置器件输出正弦波的频率和相位。它的sin-rom查询表把输入的地址相位信息映射成正弦波幅值的数字量信号,驱动da转换器输出模拟量。输出正弦波频率为:fout=freqreg(fmclk/228)式中:freqreg为频率控制字,由频率寄存器freqoreg或freqlreg的值给定,其范围为0m 80db。信号带宽和压摆率保持在整个增益调节范围内保持恒定。这40db/ v增益控制在1.5db(最高误差范围0.9db),让应用程序在一个agc增益控制电压精确到作为接收信号强度指示器(rssi)的使用精度为1.5db。通过调节控制电压(vc)来控制压控增益放大器vca810的放大增益,从而控制正确的输出。vca810 作为压控增益的主要部分, 其增益与控制电压的关系为:g=-40(vc+1)其中, vc 表示控制电压, 可见, vca810 的增益与其控制电压成线性关系, 只要用单片机控制d/ a 输出一线性变化的控制电压给vc, 便可得到线性变化的电压增益输出理论上vca810可调的放大倍数为1/100100,实际上由于dds输出的正弦波和三角波幅度为680mv,方波的幅度为6.8v左右,而且vca的工作电压只有-5v+5v,所以放大倍数不可能为100倍,差不多达到7、8倍,但是缩小则可以到1/100。为了确保调节的精确,我们采用vca810的缩小功能,提供给它的参考电压为0-1v。经过这一级缩小后,再送入后级放大。3) ua741反相模块由于我们采用的msp430单片机自带da模块,所以没有外接da,利用单片机给da写控制字,使da输出幅值可变的电压作为vca810的参考电压。从da输出的电压幅度值范围为03.3v,而vca810要求的参考电压为0-1v,所以需要反相和缩小,利用简单的ua741实现,电路如下:4.4.3 放大稳压1) opa1632介绍设计运算放大器时,我们考虑了许多不同型号的运放,功能各异,主要考虑增益带宽积,输出驱动电流(表明带负载的能力),噪声电压,(电路复杂程度,芯片熟悉程度,价格)等等。ti公司的opa1632是音频运算放大器,性能比较好。增益带宽积为180mhz,输出驱动电流为150ma。可以工作在较高频率下而不出现失真,且驱动能力较好。2) 功能实现该实验中,我们主要用opa1632设计放大电路和电压跟随器。放大电路主要分为同相比例放大器和反相比例放大器两种。 反相比例放大器 同相比例放大器反相放大电路有如下特点:l 运放两个输入端电压相等并等于0,故没有共模输入信号,这样对运放的共模抑制比没有特殊要求。l vn= vp,而vp=0,反相端n没有真正接地,故称虚地点。l 电路在深度负反馈条件下,电路的输入电阻为r1,输出电阻近似为零。l 输入输出关系: vo=-rfrlvs同相比例运算电路的特点如下:l 输入电阻很高,输出电阻很低。l 由于vn= vp= vs,电路不存在虚地,且运放存在共模输入信号,因此要求运放有较高的共模抑制比。l 输入输出关系: vo=(1+rfrl)vs由于实际放大倍数比理论值低,所以我们设计rf=100千欧,r1=5.1千欧,放大倍数约为21倍。4.4.4 自选波形实现自选波形有两种不同的理解和方法:l 手动描波形,由硬件接收,然后描点显示出来;l 写好一组波形,存在flash内部,通过按键选择我们实现的是第二种,首先用matlab描出想要产生的任意波形,然后取出大量的点写入代码中,将波形描绘出来。按照设计好的方案,扩展功能中的任选波形用da来实现,有2种方法:外接dac0832或者自带的dac5571。 l 自带的dac5571优点:使用较简单(因为有完整的test代码和文档,而且已经集成在5438的开发板上);缺点:速度上不去(因为5438自带的操作da用来产生任选波形的代码要放在main中,但main函数比较庞大,影响了任选波形的频率)l 外接dac0832优点:可以自己去设计da的驱动函数,产生的任选波形的频率较高;缺点:设计和搭电路较复杂(0832的输出端还要加运放来将电流输出转换成电压输出)综上考虑,我们选择使用自带的dac处理。4.4.5 键盘本实验中,我们通过按键实现波形切换和调频、调幅。通过开关实现波形的切换比较简单只需通过输出波形后不断返回到检测开关的子程序中,判断是否有别的开关拨动,如果有别的开关拨动则执行别的程序,否则输出原来的波形,不过如果要能够识别别的开关发生变化,必须将此开关关掉否则会识别不了别的键按下。当然开关的调频和调幅的实现也一样,不过首先先输出一个波形,然后再检测开关是否需要调频或者调幅,如果需要则转入到相应的程序中,最后再重新输出波形。下面是我们设计的按键对应控制的功能:4.4.6 lcd显示我们使用的是bw-dk5438开发板自带的tft液晶屏,用spi的sck端来驱动液晶的写信号,当全屏刷新、区域填充时,刷新速度可达10mpps,因此可以流畅的运行。下图是tft液晶接口示意图:5 系统软件设计与实现5.1 总体设计框图5.2 初始化1) 功能介绍由于初始化代码由开发板自带,且并不很多实际内容,故仅列出初始化流程,省略具体代码。2) 核心代码主函数中主要功能包括初始化、扫频功能实现、自选波形功能实现以及键盘扫描。伪代码如下:init();/初始化函数 while(1) /主函数循环 _delay_cycles(2048000);/键盘扫描延迟 if(扫描使能)/扫频功能函数 freqcoeff=(freqcoeff+1)%99;/当前档位下频率系数递增 dds输出;lcd显示; else if(mode=3) /自选波形函数 while(readkey() = 0xff) /当无键盘按下时,执行自选波形dac循环输出 自选波形dac绘制; scankey();/扫描键盘5.3 dds1) 功能介绍主要是对ad933进行spi模拟与单片机进行连接,再根据按键输入的数据分别转换成正弦波、三角波和方波进行输出的程序。其中转换的格式固定,但是ad9833输出频率时是先低位后高位,区别于spi模拟时单片机给ad9833数据时是先高位后低位。fsync为使能,低电平可传数据,刚开始必须为高电平,sclk为时钟,在下降沿对应数据,sdate为传数据(频率控制字),16位,但可能单片机输出一次性为8位,必须先高8位后低8位给ad9833的sdata 2) 核心代码:void dds(void) /wdtctl = wdtpw + wdthold; / stop watchdog timer unsigned long freq_value; /不是频率!是计算出的频率控制字 ad9833_spi_port_init(); output_init(); switch (freqstep) /根据频率计算频率控制字 case 0: freq_value = (unsigned long)(freqcoeff * 0x400 * 1.05); break; case 1: if (freqcoeff = 7) freq_value = (unsigned long)(0x11800 * 1.05); else if (freqcoeff = 8) freq_value = (unsigned long)(0x13000 * 1.05 *1.05); else if (freqcoeff = 9) freq_value = (unsigned long)(0x15800 * 1.05 *1.05); else freq_value = (unsigned long)(freqcoeff * 0x2800 * 1.05); break; case 2: freq_value = (unsigned long)(freqcoeff * 0x19000 * 1.05); break; case 3: freq_value = (unsigned long)(freqcoeff * 0xfa000 * 1.05); break; default: freq_value = 0; break; switch (mode) /不同mode调用不同output函数 case 0: output_square(freq_value); /mode=0对应方波 break; case 1: /mode=1对应三角波 output_triangle(freq_value); break; case 2: /mode=2对应三角波 output_sinusoid(freq_value); break; default: output_sinusoid(0x00005000); 5.4 lcd显示1) 功能介绍完成液晶初始化、显示功能。由于tft性能优良、尺寸大,且开发板自带tft液晶驱动程序以及相应显示函数,则在编写过程中,主要内容是通过调用绘制函数,将按键输入的信息显示在tftlcd上,从而实现人机交互。在lcd上,我们设计了一个图形化的显示界面,它可以实时的反应波形状态、频率、幅值等等信息。下面是该界面的详细说明,上面标示出了各元素的具体坐标:2) 核心代码void initinterface(void) /初始化/清屏 clear_lcd(color_bk); ta0ccr1 = 220; drawrectfill(0,0 ,240,320,window_bk_color); / 绘制背景 drawrectfill(0,0 ,240,30,status_bk_color); /标题栏 color_bk = status_bk_color; color = status_color; putstring24m(60,3,波形发生器); /标题字 drawrectfill(20,60,205,30,window_color); / 波形窗口题 color_bk = window_color; color = window_bk_color; putstring24m(70,63,波形信息); color=window_color;color_bk=window_bk_color; drawrect(20,90,205,125,window_color); putstring24m(25,105,波形:);mode = 2; drawmode();/初始化波形为正弦波 putstring24m(25,140,频率:);freqstep= 0;freqcoeff = 10;drawfreq();/初始化频率为100hz putstring24m(25,175,峰-峰值:);vpp = 6;drawvpp();/初始化峰峰值为0.6v drawrectfill(0,290,240,30,status_bk_color);其他绘制函数及关键代码:l void drawmode(void); 绘制波形模式putstring24m(90,105,方波 );l void drawfreqstep(void); 绘制频率档位 switch(freqstep)/判断freqstep取值,在lcd中绘制档位提示符 case 0: putstring24m(130,255,x100 hz);/100hz档break; l void drawfreq(void); 绘制频率 unsigned char str10;/用于存储字符型频率值 float freq_f;/浮点型频率值 switch(freqstep) case 0: freq_f = 100 * freqcoeff * 0.1;/100hz档位时,频率 = 频率系数(1-99) * 0.1 * 100,单位hz break; /其余档位同上,省略 sprintf(char *)str,%3.1f,freq_f);/浮点型数据到字符串型转换 drawrectfill(90,140,70,30,window_bk_color);/绘制背景覆盖数据,以防叠加至当前值 putstring24m(90,140,str);/绘制当前频率值 if(freqstep = 0)putstring24m(170,140, hz);/通过判断freqstep取值,确定绘制的单位为“hz”或“khz” else putstring24m(170,140,khz);l void drawvpp(void); 绘制峰峰值unsigned char str10;/用于存储字符型峰峰值float vpp_f;/浮点型峰峰值vpp_f = 0.1 * vpp;/峰峰值 = 峰峰值系数(0-50) * 0.1sprintf(char *)str,%3.1f,vpp_f);/浮点型数据到字符型数据转换putstring24m(130,175,str);/绘制当前峰峰值putstring24m(170,175,v);/绘制单位 “v”5.5 dac1) 功能介绍dac在本次设计中完成两个功能:一、通过改变输入的数字信号,输出自选波形;二、输出压控放大器参考电压,从而改变放大器的增益。2) 核心代码/初始化#define sda5571 bit6 / dac5571数据#define sck5571 bit7 / dac5571时钟#define scl_h p9out |= sck5571#define scl_l p9out &=sck5571#define sda_h p9out |= sda5571#define sda_l p9out &=sda5571/完成iic的起始条件操作void start(void) scl_h;/时钟信号置高 sda_h;/数据线置高 delay();/延迟 sda_l;/数据线置低 delay();/延迟 scl_l;/时钟信号置低 delay();/延迟/核心功能实现if(mode=3)/当自选波形模式while(readkey() = 0xff)/当没有键盘按下时 write_dac(voltage+);/递增输出锯齿波5.6 键盘1) 功能介绍/p6引脚定义#definekpr0 bit0 / 键盘0行#definekpr1 bit1 / 键盘1行#definekpr2 bit2 / 键盘2行#definekpr3 bit3 / 键盘3行#define kpc0 bit4 / 键盘0列#definekpc1 bit5 / 键盘1列#definekpc2 bit6 / 键盘2列#definekpc3 bit7 / 键盘3列由键盘接口图以及引脚定义可以看出,p6端口的8条i/o端口线被分成4条行线p6.0p6.3和4条列线p6.4p6.7。按键的两端分别接在行线和列线上,行线与列线的每个交界处均有一个按键。如果有按键被按下,则与之相连的行线与列线被接通。要想检测是否有按键被按下,先使4条行线输出低电平,读列线p6.4p6.7。因为所有列线都经上拉电阻接到vcc,如果没有按键被按下,列线读进来的都是高电平1;如果有按键被按下,则列线读进来的电平应与行线输出的一致,为低电平0.据此可以判断是否有按键按下。对于行列扫描式键盘,常采用扫描的办法识别键码。如果4条行线没有输出低电平,那么就可以根据读列线的值来判断这一行是否有键被按下了。2) 核心代码#define key_port_in p6in #define key_port_out p6out #define key_port_dir p6dir #define key_port_ren p6ren#define row_in_col_out p6dir = kpc0+kpc1+kpc2+kpc3 ; p6out =(kpc0+kpc1+kpc2+kpc3) ; _delay_cycles(20) / 键盘列输出行输入 #define clo_in_row_out p6dir = kpr0+kpr1+kpr2+kpr3 ; p6out =(kpr0+kpr1+kpr2+kpr3) ; _delay_cycles(20) / 键盘行输出列输入unsigned char readkey(void) unsigned char column,row,key;/分别表示行、列线读取值、返回键值 unsigned char key;/储存键值对应的8位键盘扫描值,对应关系见下图 row_in_col_out;/键盘列输出行输入 row = key_port_in&(kpr0+kpr1+kpr2+kpr3);/读取p6端口并屏蔽高4位输出值即行线值 if(row!=(kpr0+kpr1+kpr2+kpr3)/若行线没有输出低电平,说明有按键按下 clo_in_row_out ;/键盘行输出列输入 column = key_port_in&(kpc0+kpc1+kpc2+kpc3);/读取p6并屏蔽低4位即列线值 row_in_col_out;/键盘列输出行输入 key = row + column;/行列线值相加,则高低4位的不同取值可以表示不同的键值 _nop(); switch(key)/通过判断键值做出对应的响应 case 0xe7: key=1; break; case 0xd7: key=2; break; /其他键值省略 default: key=0xff; else key=0xff;/若没有键盘输出,则返回键值0xff key_port_dir=0xff;/键盘8个io口均设置为输出 key_port_out=0x00;/输出低电平 return key;/返回逻辑键值下图是8位键值与逻辑值对应关系:3) 各按键功能代码l 按键1:模式选择按键后mode值循环模4递增,在方波、三角波、正弦波、自选波形中单向切换。通过改变全局变量mode值,可以传递给lcd模块输出正确的波形模式显示在屏幕上,并通过调用dds模块的函数输出正确的控制字给dds从而输出正确波形。mode = (mode+1)%4;/0-方波,1-三角波,2-正弦波,3-自选波形drawmode();/绘制修改后的波形模式dds();/dds输出修改后的波形l 按键2:频率档位选择按键后freqstep值循环模4递增,在100hz、1khz、10khz、100khz四个档位中单向切换,通过改变全局变量freqstep值,确定频率档位的选择。在切换档位后初始化频率系数freqcoeff=50,即将频率值置为该档位下的中间值,方便使用。同按键“1”,将改变后的档位值输出在lcd上,并调用dds函数输出正确波形。freqstep = (freqstep+1)%4;/0-100hz,1-1khz,2-10khz,3-100khz drawfreqstep();/绘制频率档位值freqcoeff = 50;/初始化系数为50,便于调试和使用drawfreq();/绘制修改后的频率值dds();/dds输出修改后的波形l 按键3:扫频按键可使扫频使能信号在0,1中切换,通过判断是否为可以扫频的三种基本波形,改变扫频使能信号,在主函数中可以确定当前是否处于扫频状态,实现扫频功能。在lcd显示的过程中,需要注意通过清屏消除“扫频”字样。/判断是否处于三种基本波形模式下,若不是则无扫频功能if(mode=0|mode=1|mode=2) if (freqscanen = 0) /若扫频使能为低freqscanen = 1;/通过按键,修改使能信号为高putstring24m(160,105,-扫频);/绘制扫频模式至tftelse/若扫频使能为高freqscanen = 0;/通过按键,修改使能信号为低/绘制底色,消除tft显示的扫频字样drawrectfill(160,105,60,30,window_bk_color);l 按键4、7:频率增减按键可使频率系数freqcoeff在1-99中递增或递减,该数值表示在当前频率档位中,频率步进的系数,由于频率步进设计为档位的0.1倍,故当前频率值的计算公式为:频率值 = freqcoeff *0.1*freqstep由于freqstep、freqcoeff两个全局变量可以唯一确定当前频率值,输出给lcd模块可以在屏幕上可以正确显示,并输出正确控制字给dds模块。/当系数小于99时,按键递增 /当系数大于1时,按键递减if( freqcoeff 1) freqcoeff-;drawfreq(); drawfreq();dds(); dds();l 按键5、8:幅度细调由于峰峰值的改变是通过开发板自带的dac输出控制电压来改变压控放大器的放大倍数来实现,而8位dac输出电压值由输入8位数字值(voltage)控制,当按下“5”、“8”按键后,voltage以1为步进增减,即可以最小分辨率调节输出波形的峰峰值。voltage+; voltage-;write_dac(voltage); write_dac(voltage);l 按键6、9:幅度粗调为了实现峰峰值以0.1v步进增减,设计步进按钮。按键后全局变量vpp相应变化,输出给lcd显示。读取v151数组中相应输出值,使dac输出正确的压控电压,达到幅度控制的目的。if(vpp 0)vpp-;drawvpp(); drawvpp();voltage=v1vpp; voltage=v1vpp;draw(voltage); draw(voltage);write_dac(voltage); write_dac(voltage);实际联调中,由于dds方波输出电压与三角波、正弦波输出电压值有很大的区别,可以在读取数组值时,判断当前模式,进行对应的修改。修改后代码:if(mode = 0)/方波时voltage = v2vpp;else if(mode = 1 |mode = 2)/三角波、正弦波时voltage=v1vpp6 系统测试与结果6.1 总体方案选择6.1.1 原方案我们原定由msp430f149单片机作为波形发生器的主控制器。因为在种类和数量繁多的单片机中,ti的msp430系列颇具特色,并具有良好的性能。我们原计划采用msp430f149控制dds输出高频率的正弦波、方波和三角波。输出的数字波形通过dac0832转换为模拟波形。模拟波形经过滤波、放大、稳幅电路后,就可以输出到示波器观察。输入采用44键盘中断控制。这样可以最大限度的避免占用cpu机时。输出采用led循环显示频率和幅度,以及用字符表示当前波形。下图是原方案系统框图:6.1.2 遇到的障碍:但是随着工作的深入,我们遇到了很多障碍和预想不到的困难。比如led显示的效果并不好,特别是和lcd比较起来明显很粗糙。所以我们决定改用lcd显示。然而这样又带来了新的问题,149不能直接相连lcd去控制,它需要很复杂的外围驱动电路和软件控制。我们在经过很长时间的尝试之后还是没能成功。再加上其他很多编程时遇到的细节问题,经过讨论决定使用430开发板。6.1.3 改进方案:考虑到上面的问题,我们购买了一块开发板,它使用的是比430f149更先进的核心芯片430f5438。工作原理是430产生dds的控制字,让后者输出正弦波、三角波或是方波。波形经过放大稳幅后输出。其实也可以直接通过查表法让430产生各种波形,但430系列是以其低功耗见长,数mhz的主频虽然并不低,但还不足以产生百khz以上的正弦波形。为了达到尽可能高的输出频率,我们这样做,而是仅仅把430用作控制用途。为了控制幅度,我们决定使用一个压控调幅器件。用430输出振幅相应的数字信号通过da转换为模拟信号,输入到vca中控制需要波形的幅度。6.2 硬件电路测试6.2.1 vca810压控放大器首先,在连接vca810压控放大器的时候,按照datasheet上面的电路连接,如下图:由于参考电压vc应为负,调试阶段vc的输入我用的是-5v的电压分压。可是波形几乎没有输出,而且没有任何改变放大倍数的迹象。这块芯片的电路调了一整天,后来发现rc不能连,因为会和我设计的分压器并联,使得电压非常低,去掉之后波形的冲击消失。但是仍然不可调放大倍数,后来将rl由并联改成串联,串上一个100欧的电阻,结果输出波形正常。datasheet上的vca810可调放大倍数范围是1/100100,由于实际上芯片只有正负5v的电压,而输入的正弦波和三角波的幅度有680mv,方波的幅度有6.8v,所以实际上不可能达到100倍,实际上只有七八倍左右,而缩小可以达到1/100。我们需要精确的调幅,所以这一级只用它的缩小。我们从da送给它的参考电压为0-1v。6.2.2 opa1632在调试由opa1632搭的放大电路时,基本上很顺利,只是实际的放大倍数小于理论的放大倍数。但是在调

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论