EDA课程设计报告-八音电子琴.doc_第1页
EDA课程设计报告-八音电子琴.doc_第2页
EDA课程设计报告-八音电子琴.doc_第3页
EDA课程设计报告-八音电子琴.doc_第4页
EDA课程设计报告-八音电子琴.doc_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

燕山大学eda课程设计实验报告实验名称 八音电子琴实验要求 1.能发出1.2.3.4.5.6.7.i八个音 2.用按键作为键盘 3.c调至b调对应频率如下表音调频率(hz)c(高音)261.63x2b493.88a440.00g392.00f349.23e329.63d293.66c261.63实验电路设计1.命题分析根据命题的要求,要使扬声器发音,需要在其输入信号端连接一个对应频率的方波信号.实验使用的信号源可以提供从几hz到几兆hz不等的信号频率,自然可以想到本实验命题的关键是一个具有相应分频比的分频器.考虑到硬件(按钮)在实际工作过程中会因元件的接触产生一些不可避免的抖动脉冲电平,会对实验造成影响,因此需要在按键接入线路中安装防抖动电路.2.设计过程 1分频器为了取得合适的电路复杂度和可接受的误差范围,分频器的时钟信号选取为器件所提供的jpck1 (3mhz音频信号).然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表:分频比(16进制)频率(hz)5dee高c:261.63x26384b:493.886f84a:440.007d62g:392.008adef:349.23951ce:329.63a760d:293.66bbdec:261.63利用四片十六进制计数器74ls161就可以连接成适用的分频器.分频器连接完成后会产生一个预定频率的周期脉冲.但是实验要求的方波其占空比应该为1:1,因此在输出脉冲端加装一个t触发器,每次脉冲到达触发器的时候输出便会跳变电平,这就达到了驱动扬声器的条件.但是在应用了t触发器后输出方波的周期比预定的扩大了一倍,也就是说频率减至原来的二分之一.此时应重新选择时钟信号,令其为原来2倍即可.根据实验指导书,最终确定选择的时钟信号为6mhz. 2防抖动电路利用d触发器的电压跟随特性可以用一个频率较低的时钟信号驱动,达到防止按键抖动的目的.电路较简单,见图3.图1.时钟分频电路(downway)图2.cp为375k时上图的b4输出波形由于设计的原因,本电路只能支持单音节输入.当同时键入两个以上的音阶时,分频比较小的(比较高的音阶)优先发声.图3.按键输入防抖动电路3电路组合组合后的电路模块如图4.共有11个输入端和1个输出端.其中,cp_6m为整个电路的主频,使用电路板上的6mhz信号输出端;cp_1us为防抖动电路中触发器所使用的时钟,要求频率不高,选择电路板提供的clk3-3(12hz); keyca-b是电子琴的输入按键接口,依次是从低音到高音.speaker是电路的输出端,接入扬声器,为其产生相应音阶的频率.实际电路如图4.连接完成后,对该电路进行仿真测试,如图5,令其cp_6m为6mhz,cp_1us为一个较低频率脉冲,设置keyb(音节b)为有效电平,在speaker上得到的输出频率为493,与实际音节的频率相同. 图4.八音电子琴图5.电子琴完成品的仿真波形t触发器2分频电路硬件测试实习心得第一感觉,数字电子技术eda实习很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用.因此,实习,有意思的同时还不能大意.这是一个锻炼逻辑思维和思维严谨性的极好的机会,我和我的同学们在这次工作中受益非浅.大家都积极思思考,查找资料,集思广益来解决现有的问题。在这个过程中我帮助了别人也得到了别人的帮助。 我在和别人研究问题的时候发现在所有题目当中,以分频器为基本的八音电子琴算是比较简单的,我很早就做完了.但是很多人的题目除了分频以

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论