毕业设计(论文)-基于FPGA电子密码锁设计 (2).doc_第1页
毕业设计(论文)-基于FPGA电子密码锁设计 (2).doc_第2页
毕业设计(论文)-基于FPGA电子密码锁设计 (2).doc_第3页
毕业设计(论文)-基于FPGA电子密码锁设计 (2).doc_第4页
毕业设计(论文)-基于FPGA电子密码锁设计 (2).doc_第5页
已阅读5页,还剩38页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘 要随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。本文主要阐述了一种基于现场可编程门阵列fpga器件的电子密码锁的设计方法。用fpga器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于fpga具有现场可编程功能,当设计需要更改时,只需更改fpga中的控制和接口电路,利用eda工具将更新后的设计下载到fpga中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用fpga开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。本文采用eda技术,利用quartus ii工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片fpga芯片实现。关键词:电子密码锁;fpga;硬件描述语言;edaabstractwith the development of electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. compared electronic password lock with ordinary mechanical locks, it has many unique advantages : confidentiality, and security in nature, do not use the key, remember password can unlock it etc .most electronic password locks we used now is based upon scm technology ,scm is its mainly device ,and the creating of encoding and decoding devices is the fashion of software mode. in practical application, the reliability of the system may be worse because of easy running fly of the programme.this paper mainly expatiates a design method of electronic password lock based upon field programmable gate array device. we use fpga devices to construct system , all of the algorithm entirely achieved by the hardware circuit , because of fpga has the function of isp , when the design needs to be changed we only need to change the control and interface circuit of fpga,eda tools are used to download the updated design to fpga without changing the design of the external circuit , this greatly enhance the efficiency of the design .therefore , we use fpga to empolder the digital system has not only high reliability but also extremely convenient of upgrading and improvement .in this paper ,we use eda technology , quartus ii platform and hardware description language designing an electronic password lock ,and it achieved through an fpga chip.key words: electronic password lock;fpga;hardware description language;eda 目 录1 绪论 (标题部分的格式很多不对,检查修改)11.1 本课题研究的国内外现状及其发展21.2 本课题研究的目的和意义22 关键技术简介42.1 fpga硬件设计描述42.1.1fpga的设计流程42.1.2vhdl语言的基本结构62.1.3自上而下(top down)的设计方法72.2 设计语言、仿真平台与开发系统82.3 用quartus进行系统开发的设计流程83 系统总体设计93.1 电子密码锁设计的原理93.2 方案的提出103.3 系统设计要求113.4 系统设计描述113.5 各功能模块描述123.6 系统流程134 系统详细设计154.1 输入模块154.1.1时序产生电路154.1.2按键消抖电路154.1.3键盘扫描电路174.1.4键盘译码电路194.1.5键盘输入模块的实现204.2 电子密码锁控制模块214.2.1控制模块的描述214.2.2控制模块的状态图与asm图214.2.3控制模块的实现234.3 电子密码锁显示模块244.3.1数码管显示原理244.3.2译码显示的实现275 系统仿真285.1 系统的有关编译与仿真285.2 去抖模块的仿真285.3 密码锁输入电路的仿真295.4 密码锁控制电路的仿真305.5 系统整体仿真315.6 数码管译码器仿真326 .结束语33致谢34参考文献35i基于fpga的电子密码锁设计1 绪论 随着大规模和超大规模可编程器件在fpga技术支持下的广泛应用,使现代化设计计算已进入一个全新的阶段,从设计思想、设计工具一直到实现方式都发生了诸多变化。在fpga技术中,最为引人瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术。改技术只需通过计算机就能对所设计的电子系统从不同层次的性能特点上进行一些列准确测试和仿真;在完成实际系统的设计后,还能对系统上的目标器件进行边界扫描测试。随着技术的发展,科技的日趋夜新电子密码锁种类比较多,发展更是快。电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。一把电子锁可配制多把钥匙。下面就是现在主流电子密码锁。遥控式电子防盗锁目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两类。键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。卡式电子防盗锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接触式卡两大类。生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害),因此,利用生物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。本文介绍的基于fpga的电子密码锁电路具有显示接口,显示时可以是明文也可以是密文星号。由于fpga具有isp功能,当用户需要更改时,如增加口令位数和更改口令权限管理时,只需更改fpga中的控制和接口电路,利用eda工具将更新后的设计下载到fpga中即可,无需更改外部电路,这就大大提高了设计效率。而且采用fpga设计的电子密码锁与单片机控制的电子密码锁相比结构简单、具有更高的系统保密性和可靠性。这种基于fpga的电子密码锁可以应用在办公室、仓库、宾馆等人员经常变动的场所。 fpga技术是现代电子工程领域的一门新技术,提供了基于计算机和信息技术的电路系统设计的方法。它是从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)等技术发展而来的。设计者只需要对系统功能进行描述,在fpga工具的帮助下即可完成系统设计,从而为电子产品的设计和开发缩短了实践降低了成本,提高了系统的可靠性。1.1 本课题研究的国内外现状及其发展随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,ic卡锁,生物锁等。但较实用的还是按键式电子密码锁。20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希望通过不断的努力,使电子密码锁在我国也能得到广泛应用。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列fpga器件的电子密码锁,用fpga器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于fpga具有现场可编程功能,当设计需要更改时,只需更改fpga中的控制和接口电路,利用eda工具将更新后的设计下载到fpga中即可,无需更改外部电路的设计,大大提高了设计的效率。1.2 本课题研究的目的和意义 为了使现在的电子密码锁更能智能化的管理,让人们更能方便的使用,让其具有更高的安全性和经济性,针对基于单片机的电子密码锁的不足之处,本文采用eda技术,利用quartus工作平台硬件描述语言,设计一种电子密码锁,并通过一片fpga芯片实现。采用vhdl语言使用自顶向下的方法对系统进行了描述,并在fpga芯片cyclone上实现。设计充分利用了fpga的资源可编程特性,可高效率的对系统进行升级与改进。设计的密码锁可设置任意密码,比一般的四位密码锁具有更高的安全可靠性,因此,采用fpga 开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其方便,应用前景十分良好。2 关键技术简介2.1 fpga硬件设计描述2.1.1 fpga的设计流程fpga设计流程包括系统设计和设计实现,系统方案完成之后即进入设计实现阶段的工作,他以系统方案为输入,进行rtl级描述、功能仿真(rtl级仿真)、逻辑综合、布线前门级仿真、适配(布局布线)、时序仿真(布线后门级仿真)、时序分析、器件编程、系统验证一系列流程的处理才能完成fpga芯片的设计,其设计流程如图2.1所示。需要说明的是,如果仿真验证不对或者某一步有错,就要返回修改。有必要检查和修改的地方有rtl级描述、系统方案、约束和测试激励等。一般情况下,对rtl级的描述即原理图或者hdl设计代码的修改最多也最有效。修改后要重新走一遍流程。有时要反复修改,经过多次这样的迭代才能完成最后的设计。在理论上,把vlsi(ultra large scale integration,超大规模集成电路)的设计描述为6个层次1,2,即系统级(系统功能、参数定义)、算法级(描述系统功能行为)、rtl级、门级(逻辑门)、电路级(晶体管)、版图级(物理工艺)。每一级又都分3个侧面来描述:行为域描述、结构域描述、物理域描述。但在实际情况中往往把算法级行为域描述或者rtl级行为域描述都称为行为级描述。图2.1 系统设计流程(左边的箭头线太短了,最好和右边对称)对于fpga的设计而言,不需要关心电路级和版图级,只考虑系统级、算法级、rtl级、门级4个层次的行为域描述和结构域描述即可。上述的fpga系统设计中的系统实际上是指系统级和算法级,而“rtl级描述”主要是指rtl级行为域的描述。在门级,由综合工具产生的门级网表来描述。fpga的设计流程和相关概念说明如下:库:指fpga器件厂家提供的工艺库和eda工具提供的标准通用库(如ieee库等)。工艺库中有各种宏功能模块和基本功能单元,含有他们的行为级模型、门级模型、布线模型等信息。需要说明的是,系统行为仿真和rtl级功能仿真有时要用到某种功能模块,例如ram模型。对于ram模型的控制信号,不同的厂家其规定不一定相同,如写使能信号,有的厂家规定高电平有效,有的厂家规定低电平有效。其实,在厂家提供的工艺库中, ram模型有行为级模型、门级模型、版图级模型等。而行为级模型只是规定其功能,无延时信息,跟工艺无关,但门级模型和版图级模型跟工艺密切相关。解决的方法是系统行为仿真时可以使用高级语言自己建立一个模型或者调用厂家库中提供的行为级模型,功能仿真时调用行为级模型,时序仿真时调用门级模型。测试激励:指测试文件,他调用fpga设计的顶层模块,同时产生顶层模块需要的输入信号,称之为激励信号,使用行为描述即可,不要求可综合。仿真时他作为最顶层的文件,从而可以观察fpga的输出是否正确。所有的仿真都可使用同一个测试激励。约束:指对逻辑综合和布局布线时的约束。包括器件型号、速度、面积、功耗、引脚分配、时钟网络资源的分配、模块在器件中的定位等约束。一部分在软件中设置,一部分以约束文件的形式存在。2.1.2 vhdl语言的基本结构vhdl(very high speed integrated circuit hardware description language)硬件描述语言从高于逻辑级的抽象层次上描述硬件的功能、信号连接关系及定时关系。vhdl的设计流程如2.2图。图2.2 vhdl的设计流程一个完整的vhdl语言程序通常包含实体(entity)、结构体(architecture)、配置(configuration)、包集合(package)和库(library)五个部分。1、实体实体说明部分是说明一个器件的外观视图,即从器件外部看到的器件外貌,其中包括器件的端口,同时也可以定义参数,并把参数从外部传入模块内部,主要用于描述所设计的系统的外部接口。2、结构体结构体是描述一个器件的内部视图,是次级设计单元。在其对应的初级设计单元实体说明被编译并且被并入设计库之后,它就可以单独地被并入该设计库中。结构体描述一个设计的结构和行为,把一个设计的输入和输出之间的关系建立起来。一个设计可以有多个结构,分别代表该器件的不同实现方案。根据对一个器件设计由抽象到具体的过程,可把结构体的描述方式分为三个层次:行为描述方式、寄存器传输描述方式(rtl)和结构描述方式。3、库库是经编译后的实体、结构体、包集合和配置的集合。使用库时总要在设计单元的前面予以说明。一旦说明,库中的数据对该设计单元就是可见的,从而共享已经编译过的设计结果。vhdl语言中存在的库大致有ieee库、std库、asic厂家提供的库、用户定义的库和现行作业库。4、包集合包集合属库中的一个层次,是一种可编译的源设计单元。它收集了vhdl语言中所用到的信号、常数、数据类型、函数和过程的说明等。用户可以构造一个包集合,用以存放常数、数据类型、函数和过程,该包集合经编译后便自动加到work库中。使用库中的包集合时,在打开库后要用use语句说明,例如:library ieee;use ieee.std-logic-1164.all;5、配置配置语句从一个库中为一个实体选择一个特定的结构体,是一种放在库中的被编辑单元,并有相应的配置名。通过配置技术,可以选取多种不同的结构体,以便对一个设计任务采用仿真工具进行多种配置的性能实验。另外,配置说明和规定的特性还可以用在多层描述中。2.1.3 自上而下(top down)的设计方法自上而下的设计方法是现代电子系统的新型设计策略,它从设计的总体要求出发,自上而下地逐步从系统数学模型的设计与仿真,到数据流级的设计与仿真,选择系统设计方案,最后完成系统硬件的整体设计。vhdl的自上而下的设计方法不仅体现在它的基本结构由描述外视特性的实体与描述内视行为和结构的结构体构成,同一实体可以有一个以上的结构体,以便设计方案的选择,还体现在系统硬件设计过程的三个层次:行为级描述与仿真、rtl级描述与仿真、逻辑综合与门级仿真。逻辑综合与所使用的逻辑综合工具有关,由逻辑综合优化工具生成具体的门级逻辑电路的edif(electronic design interchange format)网表。edif网表是一种标准接口,它是一个以ascii字符为基础的中间互换格式,被大多数供应商提供的cae/cad系统所支持。半导体制造厂基于这种网表生成asic芯片的制造工艺,fpga则基于这种网表生成用以配置fpga芯片的位流文件。这三种仿真贯穿系统硬件设计的全过程,从而可以在系统设计早期发现设计中存在的问题,与传统的自下而上的后期仿真相比大大缩短系统的设计周期,并且利于方案的综合评价与选取。这是用vhdl语言设计系统硬件的最突出的优点。2.2 设计语言、仿真平台与开发系统(1)硬件描述语言电子密码锁的设计采用了功能强大的通用硬件描述语言vhdl,它具有很强的行为描述能力,设计方法灵活,可以支持自顶向下(top down)和基于库(library-based)的设计方法,硬件描述与具体的工艺技术和硬件结构无关,能轻易地改变设计的规模和结构,标准、规范易于共享与复用,易于向asic移植。(2)quartus软件开发工具本设计采用的软件开发工具是美国altera公司的quartus,它支持多种设计输入方法,包括原理图输入、文本输入(如ahdl,vhdl,verilog hdl文本文件)和第三方eda工具输入文件(如edif,hdl,vqm文件),利用该工具所配备的编辑、编译、仿真综合、芯片编程等功能,可将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如fpga芯片),做成asic芯片。(3)eda实验开发系统设计中采用的eda实验开发系统,主要用于提供可编程逻辑器件的下载电路及eda实验开发的外围资源,供硬件验证用。一般包括:实验或开发所需的各类基本信号发生模块;fpga/cpld输出信息显示模块;监控程序模块;目标芯片适配座以及上面的fpga/cpld目标芯片和下载电路。2.3 用quartus进行系统开发的设计流程使用quartus进行电子密码锁设计的流程为(1)编写vhdl程序(使用vhdl file)(见附录);(2)编译vhdl程序(使用compiler);(3)仿真验证vhdl程序(使用waveform editor, simulator);(4)进行芯片的时序分析(使用timing analyzer);(5)安排芯片管脚位置(使用floorplan editor);(6)下载程序至芯片(使用programmer)。3 系统总体设计3.1 电子密码锁设计的原理1、编码总量的确定电子密码锁随机开锁成功的概率定义为:p=1/nt其中p为随机开锁成功概率,nt为密码编码总量。显然要使密码锁保密安全性高,nt应尽可能大,使p趋于零,但nt越大,相应电路越复杂,密码的记忆与操作也越麻烦。故nt应有合理的上限和下限。下限ntl的选择应使密码落在随机开锁可能成功的操作时区以外。若每一次开锁操作时间为t,为便于做随机试验,将nt分为n段并期望在1/n段的1/2处开锁成功。此时p=1/2n则随机开锁试验期望成功的时间为:tr=ntt/2n假定系统设计不考虑误码输入的保护,密码锁在无保护的情况下使操作人员任意作随机开锁试验的时间为ten,则:ntl=(2nten t)x,其中x为最低安全系数。即:ten=(ntlt/2n)/x显然若使nt=ntl,则trten,则使分段随机试验不易成功。由此可得出数字密码锁的编码总量设定是系统设计安全性、保密性的首要技术指标。一般来说,当nt选定之后,nt的上限nth原则上是越大越安全,但一般设计时取nth=(101000)ntl较为合理。2、编码制式的选择编码制式应根据nt的大小选取,可分为如下三种:(1)密码的各位都可以重码:nt1=ai;(2)密码的非相邻位可以重码:nt2=a(a-1)i;(3)密码的任何一位都不能重码:nt3=a(a-1)(a-i+1)。其中a为基数,i为位数,a和i的选取应该满足ntntl , a=2,3,4,10,12,14,16。现在以最常用的a=10,i=6为例,可以计算出nt2=0159nt 1,nt 3=0.15n t1,所以编码制式考虑是否重码对nt有很大影响。另外,相同制式下不同的基底对编码总量nt会有影响,而且基底的选择也会影响到硬件电路的设计。本文设计的密码锁采用十进制编码,密码各位允许重码,为简化电路设计,密码锁口令采用对串行脉冲计数的方式输入。3、误码输入的保护措施如前所述,电子密码锁的设计应考虑自身的安全保密性,由于编码和捕捉密码的实验都是随机的,若要使p=1 nt趋近于0,必须采取误码输入的保护措施。假定设定的误码输入次数不超过三次,误码达到三次时系统应关闭主控电路,拒绝大于三次的密码输入,并且系统进入报警状态。系统正常状态的恢复可采用万能密码输入或者系统掉电恢复。3.2 方案的提出方案一:采用数字电路控制。虽然采用数字密码锁电路的好处是设计简单,但是由于其是纯电路实际,在系统运行时,延时会比较严重。方案二:通过单片机实现,现在一种新的方案就是采用一种是用以at89s51为核心的单片机控制方案。虽然有灵活的设计和丰富的io端口,但是单片机设计的缺点是程序运行时容易出现跑飞现象。通过以上比较显然单片机方案有较大的活动空间,不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还可以方便的对系统进行升级,但是由于所学知识不能将其有效的应用,现有环境不能满足,而且单片机的密码锁有一定的不足之处,在运行时程序有时会产生pc指针错误,还有基于现在所学知识的应用,所以基于以上比较提出了第三种方案。方案三:利用fpga设计电子密码锁,其成本低,设计方便(有相应的开发板),现有资源充足,实现比较容易,更利于系统的维护改进和升级,可靠性更高,更安全。通过以上比较描述,本设计采用基于fpga的电子密码锁设计方案。3.3 系统设计要求 设计一个具有较高安全性和较低成本的通用电子密码锁,具体功能要求如下:(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。(3)密码更改:按下此键时会将目前的数字设定成新的密码。(4)激活电锁:按下此键可将密码锁上锁。(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。3.4 系统设计描述本系统为一个简洁型的电子密码锁,该系统以开发板为主要核心,采用4*4的键盘,前10个键为数字键,8个数码管显示密码输入,后面的按键实现电子密码锁的各个功能,总体外观如图3.1所示。图3.1 电子密码锁外观图上图为在实验开发板上实现,使用开发板有十六个按键,分别对应数字0到9和各个功能键,led显示为开发板上数码管显示。3.5 设计思路及各功能模块描述电子密码锁可以由三个部分组成:数字密码输入电路、密码锁控制电路、密码锁显示电路。作为密码锁的输入部分,可选择的方案有数字机械式键盘和触摸式数字键盘等多种。考虑种种因素主要是成本和使用寿命因素,本设计采用通用的数字机械式键盘。数字电子密码锁的显示信息电路可采用led数码管显示和液晶屏幕显示两种。液晶显示具有高速显示、高可靠性、易于扩展和升级等优点,但是普通液晶显示屏存在亮度低、对复杂环境的适应能力差等缺点,在低亮度的环境下还需要加入其它辅助的照明设备,驱动电路设计相对复杂,因此本设计的显示电路使用通用的led数码管。根据以上选定的输入设备和器件,并考虑到实现各项数字密码锁功能的具体要求。本设计分为三个大的功能模块。图3.2为数字电子密码锁系统总体框图。图3.2 数字电子密码功能模块图(1) 密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。模块的功能是:将用户通过键盘输入的数字密码,确认密码是否输入,产生电路中使用的三种不同频率的工作脉冲波形,即系统时钟脉冲、弹跳消除取样信号和键盘扫描信号;为了加强按键按下的准确性加了去抖模块。作为电子密码锁的输入电路,数字密码输入电路可采用一个44的通用开发板上的键盘作为本设计的输入设备。开发板键盘具有低成本、可靠性高、构成电路简单、技术成熟和应用广泛等特点,因此将其应用到通用电子密码锁中还是比较适宜的。(2) 密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。模块功能:用于密码的更改,密码的清除,以及对密码锁的工作状态更改,对输入密码次数的计数功能,还有就是更智能化,更符合人们的思维,按键的时候都是习惯看输入数字的移位情况。(3)密码显示电路主要将显示数据的bcd码转换成相对应的编码。如,若选用七段数码管显示电路,主要将待显示数据的bcd码转换成数码器的七段显示驱动编码。从图3.2系统的总体走向图可知:通过时序产生键盘扫描信号,由键盘输入密码或者功能按键,当然在这过程中要经过按键去抖,最后将将所输入的键盘值译码得到具体的数字或者功能键;将输入值输入键盘数据存储器,在这里面可以将键盘值存储或者清除,再经过比较电路,比较输入值与原来存储的是否一致,如果一致则开启锁,如果不一致则报警(在报警后可以通过输入万能密码或者断电复位等可以解决);在经过存储电路时,同时将所输入的值通过led显示出来,显示将通过bcd译码电路,将所获取的值显示在led数码管上。3.6 系统流程系统流程图如图4.1:(不能光有一个图,必须配有对图的文字说明,把系统的流程说清楚)图3.3 系统流程图4 系统详细设计4.1 输入模块图4.1是电子密码锁的输入电路框图,由键盘扫描电路、弹跳消除电路、键盘译码电路、按键数据缓存器,加上外接的一个34矩阵式键盘组成。 图4.1电子密码锁的输入电路框图矩阵式键盘是一种常见的输入装置,在日常的生活中,矩阵式键盘在计算机、电话、手机、微波炉等各式电子产品上已经被广泛应用。图2.3是一个34矩阵式键盘的面板配置图,其中数字09作为密码数字输入按键,*作为“上锁”功能按键,#作为“解锁/清除”功能按键。 图4.234矩阵式键盘的面板配表4.1 行扫描信号、列按键输入信号与按键位置的关系ky3ky0111011101110110111011101101110111011011101110111kx2kx0011101110011101110011101110011101110按键号123456789*0#4.1.1 时序产生电路本时序产生电路中使用了三种不同频率的工作脉冲波形:系统时钟脉冲(它是系统内部所有时钟脉冲的源头,且其频率最高)、弹跳消除取样信号、键盘扫描信号。当一个系统中需使用多种操作频率的脉冲波形时,最方便的方法之一就是利用一计数器来产生各种需要的频率。也就是先建立一个n位计数器,n的大小根据电路的需求决定,n的值越大,电路可以分频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。若输入时钟为clk,n位计数器的输出为qn-1.0,则q(0)为clk的2分频脉冲信号,q(1)为clk的4分频脉冲信号,q(2)为clk的8分频脉冲信号q(n-1)为clk的2n分频脉冲信号;q(5 downto 4)取得的是一个脉冲波形序列,其值依000110110001周期性变化,其变化频率为clk的32分频。我们利用以上规律即可得到各种我们所需要频率的信号或信号序列。clk_1k: in std_logic ; -系统原始时钟脉冲(1 khz)key_in: in std_logic_vector (2 downto 0); -按键输入clk_scan: out std_logic_vector (3 downto 0) ; -( 仿真时用)键盘扫描序列data_n: out std_logic_vector(3 downto 0) ; -数字输出data_f: out std_logic_vector(3 downto 0) ; -功能输出flag_n: out std_logic ; -数字输出标志flag_f: out std_logic ; -功能输出标志clk_ctr: out std_logic; -控制电路工作时钟信号clk_debounce: out std_logic -(仿真时用)去抖电路工作时钟信号4.1.2 按键消抖电路由于设计采用的矩阵式键盘是机械开关结构,因此在开关切换的瞬间会在接触点出现信号来回弹跳的现象,对于电子密码锁这种灵敏度较高的电路这种弹跳将很可能会造成误动作输入,从而影响到密码锁操作的正确性。从图4.2中可以观察出弹跳现象产生的原因,虽然每次只是按下按键一次然后放掉,然而实际产生的按键信号却不知跳动一次,经过取样信号的检查后,将会造成误判断,以为键盘按了两次。如果调整抽样频率(如图4.3所示),可以发现弹跳现象获得了改善。 图4.2 弹跳现象产生错误的抽样结果 如果调整抽样频率,弹跳现象就可以获得改善。图4.3 调整抽样频率后得到的抽样结果因此必须加上弹跳消除电路,避免误操作信号的发生。特别要注意的是,弹跳消除电路所使用的脉冲信号的频率必须比其他电路使用的脉冲信号的频率更高;通常将扫描电路的工作频率定在100khz左右,而将弹跳消除电路的工作频率定在200khz左右,其工作频率通常是前者的2倍或者更高。弹跳消除电路的实现原理如图4.4所示,先将键盘的输入信号d_in做为电路的输入信号,clk是电路的时钟脉冲信号,也就是取样信号,d_in经过两级d触发器延时后再使用rs触发器处理。图4.4 弹跳消除电路的内部实现原理图 此处rs触发器的前端连接和非门的处理原则是: (1)因为一般人的按键速度至多是10次/秒,亦即一次按键时间是100ms,所以按下的时间可估算为50ms。以取样信号clk的周期为8ms计,则可以取样到6次。 (2)对于不稳定的噪声,在4ms以下则至多抽样一次。 (3)在触发器之前,接上and-not之后,sr的组态如表1.2所示。 表4.3rs触发器真值表srd-out00不变101010消除抖动模块程序如下:-debouncing.vhdlibrary ieee; use ieee.std_logic_1164.all; library altera; use altera.maxplus2.all;entity debouncing isport(d_in, clk: in std_logic; dd1, dd0, qq1, qq0 : out std_logic; d_out, d_out1: out std_logic ); end entity debouncing ; architecture art of debouncing iscomponent dcfq isport(clk, clrn, prn, d: in std_logic; q: out std_logic); end component dcfq; signal vcc, inv_d : std_logic ; signal q0, q1 : std_logic ; signal d1, d0 : std_logic ; beginvcc = 1 ; inv_d clk, clrn = inv_d, prn = vcc, d =vcc , q = q0); u2: dcfq port map (clk = clk, clrn = q0, prn = vcc, d =vcc , q = q1); process (clk)beginif clkevent and clk=1 thend0 = not q1; d1 = d0; end if ;end process ; dd0 = d0; dd1 = d1; qq1 = q1; qq0 = q0; d_out = not (d1 and not d0); d_out1 = not q1 ; end architecture art; -dcfq.vhdlibrary ieee; use ieee.std_logic_1164.all; entity dcfq isport(clk, clrn, prn, d: in std_logic; q: out std_logic);end entity dcfq ; architecture art of dcfq isbeginprocess (clk, clrn, prn)beginif clrn=0 and prn=1 thenq=0; elsif clrn=1 and prn=0 thenq=1; elsif clkevent and clk=1 thenq =d; end if ; end process ; end architecture art; 这段程序的作用就是通过对信号的分频处理,从而将按键产生的不规则信号转换为便于识别的按键信号,达到消除抖动的目的。4.1.3 键盘扫描电路扫描电路的作用是用来提供键盘扫描信号(表4.1中的ky3ky0)的,扫描信号变化的顺序依次为11101101101101111110依序的周而复始。扫描时依序分别扫描四列按键,当扫描信号为1110时扫描ky3这一排按键;当扫描信号为1101室,扫描ky2这一排按键;当扫描信号为1011时,扫描ky1这一排按键;当扫描信号为0111时,扫描ky0这一排按键。每扫描一排按键就检查一次是否有键被按下,如果这排没有按键被按下就忽略,反之,如果出现被按下的键则立刻进行按键编码的动作,且将编码的结果储存于寄存器中。-扫描信号发生器 counter : block issignal q: std_logic_vector(5 downto 0); signal sel: std_logic_vector (3 downto 0); -1110-1101-1011-0111 beginprocess (clk_1k) is beginif clk_1kevent and clk_1k =1 thenq = q+1; end if; c_debounce = q(2) ; -去抖时钟信号, 大约125 hz c_keyboard = q(2 downto 1) ; - 产生键扫信号*“00-01-10-11”, 大约16 hz-c_debounce = q(1) ; -仿真时用 -c_keyboard = q(5 downto 4) ; -仿真时用clk = q(0) ; end process; clk_debounce=c_debounce; sel = 1110 when c_keyboard=0 else1101 when c_keyboard=1 else1011 when c_keyboard=2 else0111 when c_keyboard=3 else1111; clk_scan = sel ; end block counter ; 4.1.4 键盘译码电路在密码输入电路中,按键又分为数字按键和文字按键,每一个按键可能负责不同的功能,例如清除键、上锁键和解锁键等。数字按键主要是用来输入数字的,但是键盘所产生的输出是无法直接拿来用作密码锁控制电路的输入的,另外,不同的按键具有不同的功能,所以必须由键盘译码电路来规划每个按键的输出形式,以便执行相应的动作。键盘译码电路主要将所对应的键盘值设定成所要用的功能键,其主要程序如下: -键盘译码key_decoder : block signal z : std_logic_vector(4 downto 0) ; -按键位置beginprocess(clk)beginz n n n n n n n n n n n = 1111 ; end case ; end if ;键盘输入模块的实现图4.7 键盘输入电路符号图模块外部信号端口:clk_1k:时钟脉冲输入端口,为系统提供工作的时钟脉冲。key_in:按键输入端口,为按键输入提供输入信号。kscan:键盘扫描序列(仿真时用),为按键扫描提供信号。data_n:数字输出端口,为数字的输出提供信号。data_f:功能输出端口,为功能的输出提供信号。flag_n:数字输出标志,用于识别数字是否输出。flag_f:功能输出标志,用于识别功能是否输出。ksrclk:键盘输入采样时钟。kpos:按键位置,用于识别按键所在位置提供信号。模块内部信号:scan:键扫信号“00011011”寄存器k_ksrclk:去抖时钟信号,为去抖提供信号。c:键盘输入去抖

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论