课程设计-采用EDA技术设计简单微处理器.doc_第1页
课程设计-采用EDA技术设计简单微处理器.doc_第2页
课程设计-采用EDA技术设计简单微处理器.doc_第3页
课程设计-采用EDA技术设计简单微处理器.doc_第4页
课程设计-采用EDA技术设计简单微处理器.doc_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

长沙理工大学计算机组成原理课程设计报告简单微处理器的设计 李江江学 院 计算机与通信工程 专 业 计算机科学与技术 班 级 085010502 学 号 200550080225 学生姓名 李江江 指导教师 肖晓丽 课程成绩 完成日期 2008年1月18日 课程设计任务书计算机与通信工程学院 计算机科学与技术专业 课程名称计算机组成原理课程设计时间20072008学年第一学期1920周学生姓名李江江指导老师肖晓丽题 目简单微处理器的设计主要内容:本课程设计要求采用eda技术设计简单微处理器。系统设计要求采用自顶向下的设计方法。它要求完成数的输入,数的比较,数的交换和结果输出四部分。系统实现采用硬件描述语言vhdl把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。要求:(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。(3)学按要求编写课程设计报告书,能正确阐述设计和实验结果。(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。课程设计成绩评定学 院 计算机通信工程 专 业 计算机科学与技术 班 级 计算机05-02 班 学 号 200550080225 学生姓名 李江江 指导教师 肖晓丽 课程成绩 完成日期 2008年1月18日 指导教师对学生在课程设计中的评价评分项目优良中及格不及格课程设计中的创造性成果学生掌握课程内容的程度课程设计完成情况课程设计动手能力文字表达学习态度规范要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩 指导教师签字 年 月 日简单微处理器的设计学生:李江江 指导老师:肖晓丽摘要:本课程设计采用eda技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言vhdl把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。关键词:处理器;输入;比较;交换目录1 引 言11.1课题设计的背景、目的11.2 课程设计的内容12 eda、vhdl简介32.1 eda简介32.2 vhdl简介3vhdl语言的特点3vhdl的设计流程43 简单微处理器的设计过程53.1设计规划53.2 各模块设计及相应程序54 系统仿真91.数的输入.92 数的比较。93 交换两个数。104 结果输出(从小到大).10结束语11致 谢12参考文献13附 录14 李江江 简单微处理器的设计 第25页 共25页 1 引 言随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组m,按从小到大的顺序输出这10个数。1.1课题设计的背景、目的微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8kb的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(fpu),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,intel公司推出了第一代“奔腾”微处理器(pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。本次设计的目的就是了解并掌握vhdl硬件描述语言的设计方法和思想,通过学习的vhdl语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习vhdl基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高ic设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解vhdl语言的精髓,达到课程设计的目标。1.2 课程设计的内容本设计主要介绍的设计一台简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组m,按从小到大的顺序输出这10个数。( 1 )程序开始及输入10个数据。( 2 )数的比较。( 3 )交换两个数。( 4 )结果输出(从小到大)。2 eda、vhdl简介2.1 eda简介eda是electronic design automation(电子设计自动化)的缩写,eda技术是20世纪90年代初以来迅速发展起来的现代电子工程领域的一门新技术。它可以编程逻辑器件(pld)为载体,以计算机为工作平台,以eda工具软件为开发环境,以硬件描述语言(hdl)作为电子系统功能描述方式,以电子系统设计为应用方向的电子产品自动化设计过程。2.2vhdl简介vhdl的英文全名是very-high-speed integrated circuit hardwaredescription language,诞生于1982年。1987年底,vhdl被ieee和美国国防部确认为标准硬件描述语言 。自ieee公布了vhdl的标准版本,ieee-1076(简称87版)之后,各eda公司相继推出了自己的vhdl设计环境,或宣布自己的设计工具可以和vhdl接口。此后vhdl在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,ieee对vhdl进行了修订,从更高的抽象层次和系统描述能力上扩展vhdl的内容,公布了新版本的vhdl,即ieee标准的1076-1993版本,(简称93版)。现在,vhdl和verilog作为ieee的工业标准硬件描述语言,又得到众多eda公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,vhdl于verilog语言将承担起大部分的数字系统设计任务。2.3vhdl语言的特点vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本点。应用vhdl进行工程设计的优点是多方面的。(1)与其他的硬件描述语言相比,vhdl具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)vhdl丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)vhdl语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用vhdl完成的一个确定的设计,可以利用eda工具进行逻辑综合和优化,并自动的把vhdl描述设计转变成门级网表。(5)vhdl对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。2.4vhdl的设计流程它主要包括以下几个步骤:1.设计规范的定义这个有点象系统的设计的总体规划,就是要明确这个系统有哪些设计要求,和你要想到达的目标。2.采用vhdl进行设计描述这部分包括设计规划和程序的编写。设计规划主要包括设计方式的选择及是否进行模块划分。设计方式一般包括直接设计,自顶向下和自底向下设计,这个和其他软件语言差不多。最重要还是模块划分,这个和设计者的设计水平有很大关系。 完成规划设计后,就可以编写个模块的vhdl程序了,最后将各模块的vhdl程序综合起来就完成了整个设计的vhdl描述.3.vhdl程序仿真 这个过程和其他软件语言没什么区别。4.综合、优化和布局布线综合指的是将设计描述转化成底层电路的表示形式,其结果是一个网表或者是一组逻辑方程;优化,这个主要是为了提高程序的执行效率及减少资源的利用;布局布线,指的是将逻辑关系转化成电路连接的方式。5.后仿真。这个与vhdl程序仿真不同,这个不仅是对逻辑方面的验证,还要进行时序功能验证。6.器件编程3 简单微处理器的设计过程3.1设计规划 计算器通过简单的数字键盘输入操作数,其中所键入的数据将被暂存在移位寄存器中,然后根据运算符执行产生不同的操作结果,所获得的运算结果再通过译码电路转移到七段显示器输出。整个微处理器的工作原理图如图3.11所示。图3.1 微处理器的工作原理图3.2 各模块设计及相应程序本系统设计了10条指令:in1(输入到目的寄存器),mov(将一个数送入目的寄存器),mov1(将源寄存器中的数据存储到目的寄存器所指向的地址单元),mov2(将源寄存器所指向的地址单元中的数送入目的寄存器), out1(输出),cmp(将目的寄存器和源寄存器所指向的地址单元中的数据进行比较),dec(将目的寄存器中的数据自减一),inc(将目的寄存器中的数据自加一),jmp(无条件跳转),jb(小于跳转),下表列出了每条指令的格式、汇编符号和指令功能。表3.2 指令系统格式表助记符号指令格式功 能in1 rd 1000rd (sw)-rdmov im rd 1001rdim(im)rdmov1 rs rd1010rs rd(rs)-rdmov2 rs rd 1011 rs rd(rs)rdout1 rd 1100rs (rs)ledcmp rs rd 1101 rsrd(rs)-(rd),锁存cy和zidec rd1110rd(rd)-1rdinc rd 1111 rd(rd)+1rdjmp addr0110addraddr-pcjb addr0111addr若小于,则addr-pc关键程序数的选择模块library ieee;use ieee.std_logic_1164.all;entity mux5 isport( r0_b,r1_b,r2_b,r3_b,alu_b:in std_logic; r0_in,r1_in,r2_in,r3_in,alu_in:in std_logic_vector(7 downto 0); mux5out:out std_logic_vector(7 downto 0) );end mux5;architecture a of mux5 isbegin process(alu_b,r3_b,r2_b,r1_b,r0_b) begin if(alu_b=1 and r3_b=1 and r2_b=1 and r1_b=1 and r0_b=0) then mux5out=r0_in; elsif(alu_b=1 and r3_b=1 and r2_b=1 and r1_b=0 and r0_b=1) then mux5out=r1_in; elsif(alu_b=1 and r3_b=1 and r2_b=0 and r1_b=1 and r0_b=1) then mux5out=r2_in; elsif(alu_b=1 and r3_b=0 and r2_b=1 and r1_b=1 and r0_b=1) then mux5out=r3_in; elsif(alu_b=0 and r3_b=1 and r2_b=1 and r1_b=1 and r0_b=1) then mux5out=alu_in; else mux5out=alu_in; end if; end process;end a;library ieee;use ieee.std_logic_1164.all;entity fen2 isport( mux5_in:in std_logic_vector(7 downto 0); led_b,wr: in std_logic; out_mux3,out_put:out std_logic_vector(7 downto 0) );end fen2;architecture a of fen2 isbegin process(led_b) begin if(led_b=0 and wr=0) then out_put=mux5_in; out_mux3=00000000; else out_mux3=mux5_in; end if; end process;end a;library ieee;use ieee.std_logic_1164.all;entity mux3 isport( sw_b,cs:in std_logic; fen2_in,mux2_2in,sw_in:in std_logic_vector(7 downto 0); mux3out:out std_logic_vector(7 downto 0) );end mux3;architecture a of mux3 isbeginprocess(sw_b,cs) begin if(sw_b=0 and cs=1) then mux3out=sw_in; elsif(sw_b=1 and cs=0) then mux3out=mux2_2in; elsif(sw_b=0 and cs=0) then mux3out=fen2_in; else mux3outr2则跳转到交换,否则顺序执行。图4.2 数的比较4.3交换两个数。如图4.3,由于r3种的数25大于r2中的数15,则进行交换。使r2每次存入最大的值后,r3继续读入r1地址的值继续进行比较。图4.3 交换两个数4.4 结果输出图4.4中的r0控制循环, r1与用自增, r2用于输出寄存器.结果从qd输出。图中刚好从最小的数06开始输出。图4.4 结果输出结束语我在老师的细心指导和同学们的耐心帮助之下成功完成了本次实验,并能得到预期的实验结果。在这段时间内,我努力学习了计算机系统结构,vhdl等相关的各项知识,也查阅不少资料,并能够将课堂上所学的知识运用于实际的设计中,能够很好的进行理论联系实际进行开发。通过解决这次设计和设计中遇到的问题,也积累了一定的经验。对以后从事集成电路设计工作会有一定的帮助。在应用vhdl的过程中让我真正领会到了其并行运行与其他软件(c语言)顺序执行的差别及其在电路设计上的优越性。致 谢 本课程设计在选题和设计过程中得到了肖晓丽老师的悉心指导,肖老师多次询问课程设计进程,并多次指导我对课程设计进行修改,帮助我完善了该课程设计。在此对肖老师表示衷心的感谢。 还要感谢寝室的同学们,谢谢你们对我技术和精神上的帮助,谢谢你们。参考文献1 黄仁欣.eda技术实用教程.北京:清华大学出版社,20062黄志鹏,付丽琴.可编程逻辑器件开发技术max+pius.北京:国防工业出版社,20053潘松.vhdl实用教程m.成都:电子科技大学出版社,20004 谭会生.eda技术基础.长沙:湖南大学出版社,20045 杨刚,龙海燕.现代电子技术vhdl与数字系统设计.北京.电子工业出版社,2004 附 录程序清单:1 alu子模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity alu isport( ac, dr: in std_logic_vector(7 downto 0); s1, s0: in std_logic; bcdout: out std_logic_vector(7 downto 0); cy,zi: out std_logic );end alu;architecture a of alu issignal aa,bb,temp: std_logic_vector(8 downto 0);begin process(s1,s0) begin if(s1=0 and s0=0) then bcdout = ac + dr; aa=0∾ bb=0&dr; temp = aa + bb; cy=temp(8); if( temp =100000000) then zi = 1; else zi = 0; end if; elsif(s1=0 and s0=1) then bcdout = ac - dr; aa=0∾ bb=0&dr; temp = aa - bb; cy=temp(8); if( temp =000000000) then zi = 1; else zi = 0; end if; elsif(s1=1 and s0=0) then aa=0∾ temp=aa+1; bcdout=temp(7 downto 0); cy=temp(8); if( temp =100000000) then zi = 1; else zi = 0; end if; elsif(s1=1 and s0=1) then aa=0∾ temp=aa-1; bcdout=temp(7 downto 0); cy=temp(8); if( temp =000000000) then zi = 1; else zi = 0; end if; else bcdout = 00000000; cy = 0; zi = 0; end if; end process;end a;2状态条件寄存器子模块library ieee;use ieee.std_logic_1164.all;entity ls74 isport( ldfr:in std_logic; cy,zi:in std_logic; fc,fz:out std_logic );end ls74;architecture a of ls74 isbegin process(ldfr) begin if(ldfrevent and ldfr=1) then fc=cy; fz=zi; end if; end process;end a;3 时序产生器子模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counter isport( q,clr:in std_logic; t2,t3,t4:out std_logic );end counter;architecture a of counter issignal x:std_logic_vector(1 downto 0);begin process(q,clr) begin if(clr=0) then t2=0; t3=0; t4=0; x=00; elsif(qevent and q=1) then x=x+1; t2=(not x(1) and x(0); t3=x(1) and (not x(0); t4=x(1) and x(0); end if; end process;end a;4.程序计数器子模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity pc isport( load,ldpc,clr:in std_logic; bus_in:in std_logic_vector(7 downto 0); pcout:out std_logic_vector(7 downto 0) );end pc;architecture a of pc issignal qout: std_logic_vector(7 downto 0);begin process(ldpc,clr,load) begin if (clr=0) then qout= 00000000; elsif (ldpcevent and ldpc=1) then if (load=0) then qoutpc else qout= qout+1; -pc+1 end if; end if; end process; pcout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout romout null; end case; end if; end process;end a;6.ram子模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ram is port( wr,cs:in std_logic; din:in std_logic_vector(7 downto 0); dout:out std_logic_vector(7 downto 0); addr:in std_logic_vector(7 downto 0) );end ramarchitecture a of ram istype memory is array(0 to 31) of std_logic_vector(7 downto 0);begin process(cs,wr) variable mem: memory; begin if (cs=0) then if (wr=0) then mem(conv_integer(addr(4 downto 0):=din; elsif(wr=1) then dout = mem(conv_integer(addr(4 downto 0); end if; end if; end process;end a;7选择对rom或者ram进行操作的二选一选择器子模块library ieee;use ieee.std_logic_1164.all;entity mux2_2 isport( r_r:in std_logic; rom_in,ram_in:in std_logic_vector(7 downto 0); mux2_2out:out std_logic_vector(7 downto 0) );end mux2_2;architecture a of mux2_2 isbegin process(r_r,rom_in,ram_in) begin if(r_r=0)then mux2_2out=rom_in; else mux2_2out=ram_in; end if; end process;end a;8.五选一选择器子模块library ieee;use ieee.std_logic_1164.all;entity mux5 isport( r0_b,r1_b,r2_b,r3_b,alu_b:in std_logic; r0_in,r1_in,r2_in,r3_in,alu_in:in std_logic_vector(7 downto 0); mux5out:out std_logic_vector(7 downto 0) );end mux5;architecture a of mux5 isbegin process(alu_b,r3_b,r2_b,r1_b,r0_b) begin if(alu_b=1 and r3_b=1 and r2_b=1 and r1_b=1 and r0_b=0) then mux5out=r0_in; elsif(al

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论