智能函数信号发生器的设计与实现 毕业论文答辩 ppt素材_第1页
智能函数信号发生器的设计与实现 毕业论文答辩 ppt素材_第2页
智能函数信号发生器的设计与实现 毕业论文答辩 ppt素材_第3页
智能函数信号发生器的设计与实现 毕业论文答辩 ppt素材_第4页
智能函数信号发生器的设计与实现 毕业论文答辩 ppt素材_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1,智能函数信号发生器的设计与实现,答辩人: 专业:电子信息科学与技术 指导老师:,2,一 智能函数信号发生器设计的背景和意义,函数信号发生器是一种常用的信号源,它提供符合一定电技术要求的电信号,并提供已知波形、已知频率、已知幅度大小的输出信号。信号发生器是最基本、应用最广泛的电子测量仪器之一。它作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。 我们长期使用的信号发生器,大部分是由模拟电路构成的 ,其体积大,损耗也大 。因此我们需要设计一个线路简单,调试和修理简捷,性价比高 ,输出波形稳定的函数发生器。,3,二 函数信号发生器实现的功能:,1.通过切换按键来实现波形切换;2.加减按键来调波形输出频率;3.利用数码管显示工作频率功能;4.可实现正弦波、三角波、方波形信号输出。,4,三 硬件设计,1.智能函数信号发生器波形的产生是通过单片机执行相应的程序,再向D/ A 转换器的输入端按一定的规律发送数据,从而在D/ A 转换电路的输出端得到的相应的电压波形。电路硬件结构由AT89S52 单片微处理器、数模转换DAC0832 、LM324 及其附属电路构成,在此基础上还加上数码管显示功能(显示波形频率) 。,5,2.单片机控制,单片机的P1 口连接波形选择、调节等控制按扭 ,通过按动按扭使AT89S52 响应中断,调用相应程序或改变程序中相应参数,从而选择波形及调节其频率。P0口与D/A相连,单片机产生的数字信号转换成模拟信号,模拟信号经运算放大器放大后可由示波器观测到波形。显示部分用4位七段数码管,数码管的数据端与P2口相连,位选端与P3口相连。,6,3.D/A转换电路,D/ A 转换电路主要由D/ A 转换芯片DAC0832 和两个运算放大器LM324 组成。DAC0832 有三种联接方式. 一种是两级缓冲器型,即输入数据经两级缓冲后,送D/ A 转换电路。 第二种是单级缓冲器型,输入数据经输入寄存器直接送入DAC寄存器,然后送D/ A转换电路。第三种是两级缓冲器直通,输入数据直接送D/ A 转换电路进行转换。电路中采用直通联接方式,即/ WR1 、/ WR2 、/ CS 和/ XFER 全部接地、ILE和Vcc 接+ 5V 电源,使DAC0832 处于直通状态。此时,单片机的数据输出线直接与DAC0832 的数据输入线相连。 D/ A 转换电路可采用单极性输出,也可采用双极性输出。此电路采用双极性输出,即经两个运算放大器LM324 后输出电压Aour。,7,三 软件设计,主要是波形数据的存储、波形数据的选择、波形频率大小的调整, 通过程序得到各种波形。波形参数存入正弦波、三角波和方波三个数组中, 波形的选择通过独立按键, 一个按键切换三种波形, 频率的改变采用调整定时计数初值来实现, 由独立按键来调整频率。波形的产生, 利用计数溢出产生中断, 单片机顺序读取存储在各波形数组中的波形数据, 将波形数据经P0口送到数模转换芯片得到模拟信号。,8,1.产生波形子程序,为了实现三种波形的输出,我们需要建立方波数据表、三角波数据表、正弦波数据表。同时,波形的产生, 要利用计数溢出产生中断, 再由单片机顺序读取存储在各波形数组中的波形数据,因此要建

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论