毕业设计-基于at89c51单片机生物培养液温度控制系统设计_第1页
毕业设计-基于at89c51单片机生物培养液温度控制系统设计_第2页
毕业设计-基于at89c51单片机生物培养液温度控制系统设计_第3页
毕业设计-基于at89c51单片机生物培养液温度控制系统设计_第4页
毕业设计-基于at89c51单片机生物培养液温度控制系统设计_第5页
已阅读5页,还剩44页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1绪论11背景在现代化的到来世界,生物培养液的利用大大提高了生物的繁殖与生长,但其温度的控制至关重要,为此我们需要掌握其信息,同时信息需要温度传感器将信息传递出来。作为获取信息的手段传感器技术得到了显著的进步,其应用领域越来越广泛,对其要求越来越高,需求越来越迫切。传感器技术已成为衡量一个国家科学技术发展水平的重要标志之一。因此,了解并掌握各类传感器的基本结构、工作原理及特性是非常重要的。由于传感器能将各种物理量、化学量和生物量等信号转变为电信号,使得人们可以利用计算机实现自动测量、信息处理和自动控制,但是它们都不同程度地存在温漂和非线性等影响因素。传感器主要用于测量和控制系统,它的性能好坏直接影响系统的性能。因此,不仅必须掌握各类传感器的结构、原理及其性能指标,还必须懂得传感器经过适当的接口电路调整才能满足信号的处理、显示和控制的要求,而且只有通过对传感器应用实例的原理和智能传感器实例的分析了解,才能将传感器和信息通信和信息处理结合起来,适应传感器的生产、研制、开发和应用。另一方面,传感器的被测信号来自于各个应用领域,每个领域都为了改革生产力、提高工效和时效,各自都在开发研制适合应用的传感器,于是种类繁多的新型传感器及传感器系统不断涌现。温度传感器是其中重要的一类传感器。其发展速度之快,以及其应用之广,并且还有很大潜力。12控制要求生物繁殖培养液的温度要保证在适于细胞繁殖的温度内,这主要在控制程序设计中考虑。温度控制范围为1525,升温、降温阶段的温度控制精度要求为05度,保温阶段温度控制精度为05度。正常情况下,系统投入自动。模拟手动操作当系统发生异常,投入手动操作,并用LED显示。13方案论证方案一采用纯硬件的闭环控制系统。该系统的优点在于速度较快,但可靠性比较差控制精度比较低、灵活性小、线路复杂、调试、安装都不方便。且要实现题目所有的要求难度较大。方案二FPGA/CPLD或采用带有IP内核的FPGA/CPLD方式。即用FPGA/CPLD完成采集,存储,显示及A/D等功能,由IP核实现人机交互及信号测量分析等功能。这种方案的优点在于系统结构紧凑,可以实现复杂的测量与与控制,操作方便;缺点是调试过程复杂,成本较高。方案三单片机与高精度温度传感器结合的方式。即用单片机完成人机界面,系统控制,信号分析处理,由前端温度传感器完成信号的采集与转换。这种方案克服了方案一、二的缺点,所以本课题任务是基于单片机和温度传感器实现对温度的控制。14温度控制系统结构图及总述图1生物培养液微机温度控制系统结构图要设计完成一个生物培养液微型计算机温度控制系统,我们可以把它的组成分成以下几个部分温度检测短路,信号放大短路,A/D转换电路,加热控制电路,降温电路,报警电路,键盘(温度设置)模块和LED(温度显示)模块,单片机判断输入温度信号与设定的温度的差距,再通过改进的PID算法给以调节。放大器的则是用来放大采集装置采集的温度,由于测量的温度一般较小,所以要先报警电路LED显示键盘电路AT89C51单片机加热控制电路高阻抗电阻丝降温控制电路半导体制冷片A/D转换信号放大电路温度传感电路生物培养皿PC机用放大器进行放大再输入。A/D转换器是用来把采集到的模拟电压信号量转换成单片机机可以识别的数字信号。高阻抗加热丝和半导体制冷片是该温度控制系统的温度调节部分,当采集温度不符合要求时,则通过计算机判断后进行调节。半导体制冷片用来降温,高阻抗加热丝用来加温。显示部分则用来显示生物培养液微的温度以及设定时设置的温度值。温度采集装置采用热电阻,LM35来采集培养液的温度,来看以看是否达到要求。通过以上的几个部分的组合,则组成了一个生物培养液微型计算机温度控制系统。生物培养液微型计算机温度控制系统的结构图如图1所示2系统的硬件设计21单片机选择单片机的选择在整个系统设计中至关重要,AT89C51具有大内存、高速率、通用性、价格便宜等要求,所以本课题选择AT89C51作为主控芯片。AT89C51如图2是一种带4K字节FLASHC存储器(FPEROMFLASHPROGRAMMABLEANDERASABLEREADONLYMEMORY)的低电压、高性能CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器。AT89C51芯片具有以下特性与MCS51兼容4K字节可编程FLASH存储器寿命1000写/擦循环数据保留时间10年全静态工作0HZ24MHZ三级程序存储器锁定1288位内部RAM32可编程I/O线两个16位定时器/计数器5个中断源可编程串行通道低功耗的闲置和掉电模式片内振荡器和时钟电路AT89C51芯片管脚说明VCC供电电压。GND接地。P0口P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。P1口P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3口P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口也可作为AT89C51的一些特殊功能口,如下表所示口管脚备选功能P30RXD(串行输入口)P31TXD(串行输出口)P32/INT0(外部中断0)P33/INT1(外部中断1)P34T0(记时器0外部输入)P35T1(记时器1外部输入)P36/WR(外部数据存储器写选通)P37/RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。RST复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。/PSEN外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA/VPP当/EA保持低电平时,则在此期间外部程序存储器(0000HFFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。XTAL1反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2来自反向振荡器的输出。振荡器特性XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。芯片擦除整个PEROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE管脚处于低电平10MS来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。此外,AT89C51设有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种软件可选的掉电模式。在闲置模式下,CPU停止工作。但RAM,定时器,计数器,串口和中断系统仍在工作。在掉电模式下,保存RAM的内容并且冻结振荡器,禁止所用其他芯片功能,直到下一个硬件复位为止。结构特点8位CPU;片内振荡器和时钟电路;32根I/O线;外部存贮器寻址范围ROM、RAM64K;2个16位的定时器/计数器;5个中断源,两个中断优先级;全双工串行口;布尔处理器;图2(1)复位使单片机处于起始状态,并从该起始状态开始运行。AT89C51的RST引脚为复位端,该引脚连续保持2个机器周期以上高电平,则可使单片机复位。复位有上电复位和按键电平复位。本设计中复位电路采用按键复位方式。如下图22温度检测电路温度检测电路包括温度传感器、变送器和A/D转换三部分。221温度传感器电路传感器的定义是能感受规定的被测量并按照一定的规律转换成可用信号的器件或装置,通常由敏感元件和转换元件组成。传感器是一种检测装置,能感受到被测量的信息,并能将检测感受到的信息,按一定规律变换成为电信号或其他所需形式的信息输出,以满足信息的传输、处理、存储、显示、记录和控制等要求。它是实现自动检测和自动控制的首要环节。目前对传感器尚无一个统一的分类方法,但比较常用的有如下三种、按传感器的物理量分类,可分为位移、力、速度、温度、流量、气体成份等传感器、按传感器工作原理分类,可分为电阻、电容、电感、电压、霍尔、光电、光栅、热电偶等传感器。按传感器输出信号的性质分类,可分为输出为开关量的开关型传感器;输出为模拟型传感器;输出为脉冲或代码的数字型传感器。传感器的静态特性是指对静态的输入信号,传感器的输出量与输入量之间所具有相互关系。因为这时输入量和输出量都和时间无关,所以它们之间的关系,即传感器的静态特性可用一个不含时间变量的代数方程,或以输入量作横坐标,把与其对应的输出量作纵坐标而画出的特性曲线来描述。表征传感器静态特性的主要参数有线性度、灵敏度、分辨力和迟滞等。传感器的动态特性,是指传感器在输入变化时,它的输出的特性。在实际工作中,传感器的动态特性常用它对某些标准输入信号的响应来表示。这是因为传感器对标准输入信号的响应容易用实验方法求得,并且它对标准输入信号的响应与它对任意输入信号的响应之间存在一定的关系,往往知道了前者就能推定后者。最常用的标准输入信号分为阶跃信号和正弦信号两种,所以传感器的动态特性也常用阶跃响应和频率响应来表示。通常情况下,传感器的实际静态特性输出是条曲线而非直线。在实际工作中,为使仪表具有均匀刻度的读数,常用一条拟合直线近似地代表实际的特性曲线、线性度(非线性误差)就是这个近似程度的一个性能指标。拟合直线的选取有多种方法。如果将零输入和满量程输出点相连的理论直线作为拟合直线;或将与特性曲线上各点偏差的平方和为最小的理论直线作为拟合直线,此拟合直线称为最小二乘法拟合直线。LM35是一种得到广泛使用的温度传感器。由于它采用内部补偿,所以输出可以从0开始。每升高1C,输出电压增加10MV。即VOUTLM35T10MV/CTC(1)LM35有多种不同封装型式,外观如图3所示。在常温下,LM35不需要额外的校准处理即可达到1/4的准确率。其电源供应模式有单电源与正负双电源两种,其引脚如图4所示,正负双电源的供电模式可提供负温度的量测;两种接法的静止电流温度关系,在静止温度中自热效应低008,单电源模式在25下静止电流约50A,工作电压较宽,可在420V的供电电压范围内正常工作非常省电。图3图4单双电源引脚图工作电压430V,在上述电压范围以内,芯片从电源吸收的电流几乎是不变的(约50A),所以芯片自身几乎没有散热的问题。这么小的电流也使得该芯片在某些应用中特别适合,比如在电池供电的场合中,输出可以由第三个引脚取出,根本无需校准。目前,已有两种型号的LM35可以提供使用。LM35DZ输出为0100,而LM35CZ输出可覆盖40110,且精度更高,两种芯片的精度都比LM35高,不过价格也稍高。封装形式与型号关系TO46金属罐形封装LM35H,LM35AH,LM35CH,LM35CAH,LM35DHTO220塑料封装LM35DTTO92封装LM35CZ,LM35CAZLM35DZSO8IC式封装LM35DM规格参数1、工作电压直流430V;2、工作电流小于133A3、输出电压6V10V4、输出阻抗1MA负载时01;5、精度05精度(在25时);6、漏泄电流小于60A;7、比例因数线性100MV/;8、非线性值1/4;9、校准方式直接用摄氏温度校准;10、额定使用温度范围55150。11、引脚说明电源负GND;电源正VCC;信号输出S;传感器参数供电电压35V到02V输出电压6V至10V输出电流10MA指定工作温度范围LM35A55TO150LM35C,LM35CA40TO110LM35D0TO100电气特性LM35ALM35CAPARAMETER参数CONDITIONS条件TYPICAL典型TESTEDLIMIT测试极限注4DESIGNLIMIT设计极限注5TYPICAL典型TESTEDLIMIT测试极限注4DESIGNLIMIT设计极限注5UNITSMAX单位TA2502050205TA10030310TATMAX04100410ACCURACY精度(注7)TATMIN04100415NONLINEARITY非线性注8TMINTATMAX01803501503TMINTATMAX10099,10099SENSORGAIN传感器增益AVERAGESLOPE平均斜率101101MV/TA2504100410MV/MALOADREGULATION负载调节注30IL1MATMINTATMAX05300530MV/MATA25001005001005MV/VLINEREGULATION线路调整(注34VVS30V0020100201MV/VVS5V,2556675667AVS5V10513191114AVS30V,255626856268AQUIESCENTCURRENT静态电流注9VS30V1055133915116A4VVS30V,2502100210ACHANGEOFQUIESCENTCURRENT变化静态电流注34VVS30V05200520ATEMPERATURECOEFFICIENTOFQUIESCENTCURRENT静态电流/温度系数0390503905A/MINIMUMTEMPERATUREFORRATEDACCURACY最低温度额定精度INCIRCUITOFFIGURE1,IL015201520LONGTERMSTABILITY长期稳定性TJTMAX,FOR1000HOURS008008由课程任务书可知温度在1525范围内连续可控。因此,只需要单电源模式即可满足要求。又由于,LM35输出的电压太小,因此将输出进行放大。222A/D转换电路设计ADC模数转换器是计算机同外界交换信息所必须的接口器件,因为它能将描述自然现象和生产过程的模拟量转换成便于计算机存储和处理数字量。因此,从某种意义上说,没有ADC的广泛应用,就没有计算机应用技术的发展。ADC的种类很多,性能各不相同,在实际工作中,选择ADC需考虑的指标有分辨率、转换时间、精度、电源、输入电源范围、工作环境、数字输出特性、价格等。本系统要求温度控制误差在05摄氏度范围内,采用8位A/D转换器,ADC0809其最大量化误差为1摄氏度,能够满足精度要求。因此,本系统选用ADC0809作为ADC,来完成模数转换。ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。其引脚如图5所示IN0D0D1IN1D2D3IN2D4D5IN3D6D7IN4EOCIN5ADDAIN6ADDBADDCIN7ALEREFOESTARTREFCLOCKGNDVCCADC0809图5ADC0809引脚图多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个A/D转换器进行转换,这是一种经济的多路数据采集方法。ADC0809对输入模拟量要求信号单极性,电压范围是05V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量送入转换器进行转换。地址锁存与译码电路完成对A、B、C3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连,表1为通道选择表。CBA被选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7表1通道选择表ADC0809A/D转换芯片引脚功能ADC0809芯片有28条引脚,采用双列直插式封装IN0IN78路模拟量输入端。21288位数字量输出端。ADDA、ADDB、ADDC3位地址输入线,用于选通8路模拟输入中的一路ALE地址锁存允许信号,输入,高电平有效。STARTAD转换启动信号,输入,高电平有效。EOCAD转换结束信号,输出,当AD转换结束时,此端输出一个高电平(转换期间一直为低电平)。OE数据输出允许信号,输入,高电平有效。当AD转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。CLK时钟脉冲输入端。要求时钟频率不高于640KHZ。REF()、REF()基准电压。VCC电源,单一5V。GND地。ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0IN7上的一路模拟量输入。本系统中ADC0809的转化电路如下图6所示。图6ADC0809转化电路223放大电路由于温度传感器LM35输出的电压范围为0099V,虽然该电压范围在A/D转换器的输入范围允许范围内,但该电压信号较弱,如果不进行放大直接进行A/D转换则会导致转换侧很难过的数字量太小、精度低。又因为ADC0809的输出电压为05V,所以最大可以放大5倍,系统中选用通用型放大器A741对LM35输出的电压信号进行幅度放大,还可以对其进行阻抗匹配、波形变换、噪声抑制等处理。系统采取同相输入,电压放大倍数为5倍,电路图如图7所示图7放大电路224变送器传感器是能够受规定的被测量并按照一定的规律转换成可用输出信号的器件或装置的总称,通常由敏感元件和转换元件组成。当传感器的输出为规定的标准信号时,则称为变送器。变送器的概念是将非标准电信号转换为标准电信号的仪器,传感器则是将物理信号转换为电信号的器件。变送器则是把传感器采集到的微弱的电信号放大以便转送或启动控制元件。或将传感器输入的非电量转换成电信号同时放大以便供远方测量和控制的信号源。根据需要还可将模拟量变换为数字量。传感器和变送器一同构成自动控制的监测信号源。不同的物理量需要不同的传感器和相应的变送器。23温度控制电路温度控制分为两部分加热控制电路降温控制电路控制电路可以采用继电器来实现,控制继电器根据某种信号的变化接通或断开控制电路,实现控制目的。主要由输入电路和输出电路等组成,输出电路通常是触点。当感应元件中的输入量变化到某一定值时继电器动作,输出触点便接通和断开控制回路。控制继电器种类繁多。常用的继电器,按用途分可分为中间继电器、电流继电器、电压继电器、时间继电器、热继电器以及温度、压力、计数、频率、速度继电器等。控制继电器按结构分为电磁式和电子式两大类,其中电磁式继电器结构简单、动作可靠,在机床电路中被广泛应用。电子元器件的发展应用,推动了各种电子式的小型继电器的出现,这类继电器比传统的继电器灵敏度更高、寿命更长、动作更快、体积更小,一般都采用密封式或封闭式结构,用插座与外电路连接,便于迅速替换,能与电子线路配合使用。继电器是一种根据某种输入信号接通或断开小电流控制电路的低压电器器件。它的分类方法很多,如果按动作原理分类有;电磁式、感应式、电动式、电子式继电器及热继电器。电磁式继电器主要包括电压式继电器、电流式继电器。(1)电压继电器电压继电器按功能分为控制用的中间继电器和保护用的过电压、欠电压和零电压继电器。中间继电器也是一种电压继电器,是控制电路使用最多的继电器,它的触点对数多、触点容量较大、动作灵敏度较高。主要用途是在低压控制电路中扩展其他电器的触点数目或触点容量,起信号中继作用。电路正常工作时,欠电压继电器吸合,当电路电压减小到某一整定值时,欠电压继电器释放,对电路实现欠电压保护。电路正常工作时,过电压继电器不会动作,当电路电压超过某一整定值时,过电压继电器吸合,对电路实现过电压保护。零电压继电器是当电路电压降低到5UN25UN时释放,对电路实现零电压保护。(2)电流继电器电流继电器反映的是电流信号,使用时,应将其励磁线圈和负载串连,其线圈匝数少而粗,不致影响负载电路。常用的电流继电器有欠电流和过电流继电器两种。电路正常工作时,欠电流继电器吸合,当电路电流减小到某一整定值以下时,欠电流继电器释放,对电路起欠电流保护。电路正常工作时,过电流继电器不会动作,当电路电流超过某一整定值时,过电流继电器吸合,对电路起过电流保护。231加热控制电路在读取到从温度传感模块采集到的温度数值后,与事先设定好的温度值进行比较,若当前检测得的温度比设定的温度低,则需要对培养液进行加热处理。本系统利用高阻抗的电阻丝来对培养液加热。如下图8所示,在检测到温度比设定的温度低时,P25管脚输出高电平,从而NPN管道通,驱动继电器启动,从而为高阻抗加热电阻丝通电加热生物培养液。利用改进的PID算法来计算PWM脉宽得出控制输出。从而根据检测到的温度而自动调节继电器导通时间。图8加热电路232降温控制电路若当前检测得的温度比设定的温度高,则需要对培养液进行降温处理。本系统利用半导体降温片来对培养液进行降温。其优点是是无运动部件,可靠性也比较高,且无污染。实际使用中也是同电阻丝加热模块一样,采用继电器,在满足制冷条件下继电器接通,接通制冷电源,利用改进的PID算法来计算PWM脉宽得出控制输出。从而达到根据检测到的温度而自动调节继电器导通时间当然,实际使用时也可以采用电风扇,即再在满足制冷条件下继电器接通,电风扇的电机接通电源而转动制冷。也是利用改进的PID算法来计算PWM脉宽得出控制输出。从而达到根据检测到的温度而自动调节风扇的转速。半导体制冷器是根据热电效应技术的特点,采用特殊半导体材料热电堆来制冷,能够将电能直接转换为热能,效率较高。其工作原理如图9图9半导体降温片工作原理图导体制冷片由许多N型和P型半导体之颗粒互相排列而成,而NP之间以一般的导体相连接而成一完整线路,通常是铜、铝或其他金属导体,最後由两片陶瓷片像夹心饼乾一样夹起来,陶瓷片必须绝缘且导热良好,通上电源之後,冷端的热量被移到热端,导致冷端温度降低,热端温度升高。若当前检测得的温度比设定的温度高,则需要对培养液进行降温处理。在检测到的温度比设定的温度偏低时,P24管脚输出高电平,从而NPN管道通,驱动继电器启动,从而为半导体制冷片通电是培养液达到制冷的目的。本系统利用半导体降温片来对培养液进行降温。其优点是无运动部件,可靠性也比较高,且无污染。实际使用中也是同电阻丝加热模块一样,采用继电器,在满足制冷条件下继电器接通,接通制冷电源,利用改进的PID算法来计算PWM脉宽得出控制输出。从而达到根据检测到的温度而自动调节继电器导通时间。电路图如图10图10降温电路24报警电路如果培养液里的温度过高或者是过低了,超出了其允许的某个温度范围,则系统会自动报警,提醒用户,可以让用户采取更为快速和有效地措施来避免或是减少损失。报警电路图下图11所示。当微机判断当前温度值超出范围时,将P26管脚置低电平,利用非门来驱动喇叭报警。图11报警电路25键盘电路在过程控制和智能化仪表中,通常是用单片微机进行实时控制和数据处理的,为实现人机对话,键盘是个必不可少的功能配置。利用按键可以实现向单片微机输入数据、传送命令、功能切换等,是人工干预单片微机系统的主要手段。键盘实质上是一组按键开关的集合。按键所用开关为机械弹性开关,利用了机械触点的合、断作用。一个电压信号通过机械触点的断开、闭合过程,在闭合及断开的瞬间均伴随有一连串的抖动,抖动时间的长短一般为510MS。按键的稳定闭合期长短则是由操作人员的按键动作决定的,一般为十分之几秒到几秒的时间。键的闭合与否,反应在电压上就是呈现出高电平或低电平。为了确保单片微机对一次按键动作只确认一次按键,必须消除抖动的影响。键盘接口的工作原理键盘可分为两类独立式键盘和矩阵式键盘。各个键相互独立,每个按键独立地与一根数据输入线相连接。任何一个按键按下时,通过门电路都会向CPU申请中断,在中断服务程序中,读入P1口的值,从而判断是哪一个按键被按下。对按键是否被按下,需采用软件消抖的办法,以消除按键在闭合和断开瞬间所伴随有一连串抖动所带来的不利影响。矩阵键盘中行、列线为多键共用,各按键均影响该键所在行和列的电平。将行、列线信号配合起来并作适当的处理,才能确定闭合键的位置。矩阵键盘按键的识别方法识别键盘有无键被按下,所有列线均置为0电平,检查各行线电平是否有变化,如果有变化,则说明有键被按下。识别出具体的按键,逐列置零电平,其余各列置为高电平,某行电平由高电平变为零电平,可确定此行此列交叉点处的按键被按下。单片微机在忙于各项工作任务时,如何兼顾键盘的输入,取决于键盘的工作方式。键盘的工作方式的选取应根据实际应用系统中单片微机工作的忙、闲情况而定。其原则是既要保证能及时响应按键操作,又不要过多占用单片微机的工作时间。键盘工作方式有三种,即编程扫描、定时扫描和中断扫描。矩阵式键盘的工作过程。键扫描先通过输出口使所有列线输出为低电平,然后从输入口读入所有行线的状态。若行线中有低电平,则表明有键被按下。判断按键位置。使列线从低位至高位逐位变低电平输出,每次均读入行线的状态,以确定那条列线为“0”状态。由行、列线的状态就可判断是哪一个键被按下当判断出哪个键压下后,程序转入相应的键处理程序。程控扫描方式CPU的控制一旦进入监控程序,将反复不断地扫描键盘,等待输入命令或数据。定时扫描方式响应定时中断,执行中断服务程序,对键盘扫描一遍,检查键盘的状态,实现对键盘的定时扫描。中断扫描方式当键位上有键压下时,由硬件电路产生中断请求,CPU响应中断,执行中断服务程序,判断压下的键的键号,根据键的定义数字键或功能键作相应的处理。键盘模块是本控制系统的人机交流模块部分,主要为用户提供进行温度的设置功能。该设置功能模块中包括了0到9的数字按键,启动设置按键,即“设置”按钮,输入错误时的删除按键,及“删除”键。如图12所示图12键盘电路26LED温度显示模块由于任务书要求使用LED显示温度,而且温度在1525范围内连续可控,温度控制精度为05。因此,本系统采用了四位共阳极的七段数码管。如图13所示为4位7段数码管的原理图。由于所有的段选线并联到同一个I/O,由这个I/O口来控制,因此,若是所有的4位8段LED都选通的话,4位8段LED将会显示相同的字符。要使各个位的8段LED显示不同的字符,就必须采用动态扫描方法来轮流点亮每一位8段LED,即在每一瞬间只选通一位8段LED进行显示单独的字符。在此段点亮时间内,段选控制I/O口输出要显示的相应字符的段选码,而位选控制I/O口则输出位选信号,向要显示的位送出选通电平(共阴极则送出低电平,共阳极则送出高电平),使得该位显示相应字符。这样将四位8段LED轮流去点亮,使得每位分时显示该位应显示的字符。由于人眼的视觉暂留时间为01秒,当每位显示的间隔未超过33MS时,并在显示时保持直到下一位显示,则由于人眼的视觉暂留效果眼睛看上去就像是4位8段LED都在点亮。设计时,要注意每位显示的间隔时间,由于一位8段LED的熄灭时间不能超过100MS,也就是说点亮其它位所用的时间不能超过100MS,这样当有N位的8段LED用来显示时,每一位间隔的时间T就必须符合下面的式子T100MS/N1图13LED的动态显示原理图本系统中N4,则由式子可以算出T33MS,就是每一位的间隔时间不能超过33MS。当然时间可以也设得短一些,比如5MS或1MS也可以。如下图14所示为该四位8段L数码管显示模块的管脚连接图。从左到右,G依次接P00P06,DP接P07管脚。14为数码管位选的输入,依次接P20P23管脚。在进行显示编程时,首先选定需要显示的位数,然后向段选位送数据。即可显示。由于单片机可以直接驱动LED显示管,因此不需外加驱动电路了图1427RS232C接口电路计算机与计算机或计算机与终端之间的数据传送可以采用串行通讯和并行通讯二种方式。由于串行通讯方式具有使用线路少、成本低,特别是在远程传输时,避免了多条线路特性的不一致而被广泛采用。在串行通讯时,要求通讯双方都采用一个标准接口,使不同的设备可以方便地连接起来进行通讯。RS232C接口(又称EIARS232C)是目前最常用的一种串行通讯接口。它是在1970年由美国电子工业协会(EIA)联合贝尔系统、调制解调器厂家及计算机终端生产厂家共同制定的用于串行通讯的标准。它的全名是“数据终端设备(DTE)和数据通讯设备(DCE)之间串行二进制数据交换接口技术标准”该标准规定采用一个25个脚的DB25连接器,对连接器的每个引脚的信号内容加以规定,还对各种信号的电平加以规定。接口的信号内容实际上RS232C的25条引线中有许多是很少使用的,在计算机通讯中一般只使用39条引线。RS232C最常用的9条引线的信号。接口的电气特性在RS232C中任何一条信号线的电压均为负逻辑关系。即逻辑。“1”,515V;逻辑“0”515V。噪声容限为2V。即要求接收器能识别低至3V的信号作为逻辑“0”,高到3V的信号作为逻辑“1”。接口的物理结构RS232C接口连接器一般使用型号为DB25的25芯插头座,通常插头在DCE端,插座在DTE端一些设备与PC机连接的RS232C接口,因为不使用对方的传送控制信号,只需三条接口线,即“发送数据”、“接收数据”和“信号地”。所以采用DB9的9芯插头座,传输线采用屏蔽双绞线。传输电缆长度由RS232C标准规定在码元畸变小于4的情况下,传输电缆长度应为50英尺,其实这个4的码元畸变是很保守的,在实际应用中,约有99的用户是按码元畸变1020的范围工作的,所以实际使用中最大距离会远超过50英尺。图15MAX232结构图图16串行电路图28原理图图15原理图3温度控制系统软件设计系统的操作过程和工作过程在程序的设计过程中起着很重要的指导作用,因此在软件设计之前应首先分析温度控制系统的工作流程。31系统软件流程开始单片机系统初始化NNY图16单片机系统主控制流程图32采用改进PID控制算法采用典型的反馈式温度控制系统,组成部分见下图17。其中数字控制器的功能由单片机实现。有键按下温度采样与A/D转换调用温度显示温度超出控制量输出调用数据处理程序报警程序温度设置程序高温指示灯亮设定温度低温指示灯亮设定温度指示灯保持亮YNY图17控制系统框图已知培养皿的传递函数为设,其中1为电阻加热,1/SKEGCLT的时间常数,为电阻加热的纯滞后时间,为采样周期。TA/D转换器可划归为零阶保持器内,所以广义对象的传递函数为(41)/1/1ESSS广义对象的Z传递函数为(42)/1ZEZZTLTS所以系统的闭环Z传递函数为43/1ST系统的数字控制器为G/DE/U1ZZZ(44)LTTETTTZEZKEE1/1/1/写成差分方程即为/LKUKUKTT(45)1/1/11/1/TTEKKEEKE令/0TTA1/11/EE,/TB,则有/21E(46)1210LKUBKAKU式中第次采样时的偏差;KE第次采样时的偏差;11第次采样时的偏差;KUK本生物培养液温控系统采用的数字PID算法由软件实现,增量PID控制算法的优点是编程简单,数据可以递推使用,占用存储空间少,运算快。但是对于温度这种响应缓慢、滞后性大的过程,不能用标准的PID算法进行控制。当扰动较大或者给定的温度值大幅度变化时,由于产生较大的偏差,加上温控本身的惯性及滞后,在积分作用下,系统往往产生较大的超调和长时间的振荡。因此,为克服这种不良的影响,采用积分分离法对增量PID算法进行改进。当偏差EK绝对值较大时,暂时取消积分作用;当偏差EK绝对值小于某一设定值M时,才将积分作用投入。1当|EK|INCLUDESBITSTP20SBITOEP21SBITEOCP22UCHARCODEDIS_7170X3F,0X06,0X5B,0X4F,0X66,0X6D,0X7D,0X07,0X7F,0X6F,0X00,0X40,0XED,0XF9,0XE7,0X39,0X63/共阳LED段码表“0“1“2“3“4“5“6“7“8“9“不亮“S“E“Q“C“UCHARCODESCAN_CON40X7F,0XBF,0XDF,0XEF/列扫描控制字UCHARDATATEMP_DATA20X00,0X00/读出温度暂放INTCHANNEL4UNSIGNEDCHARSAVEDDAT6/存放采集的数据结果VOIDINITADC0809/初始化函数/CHANNELSELA0CHANNELSELB0CHANNELSELC0/默认选择第0通道/ST0OE0ET01EA1TMOD0X02TH0226TL0226TR01VOIDREADDATVOIDST1_NOP_NOP_ST0/启动转换_NOP_NOP_IFEOC1OE1SAVEDDATCHANNELP1_

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论