数字电路逻辑设计课后习题答案王毓银第三版无水印_第1页
数字电路逻辑设计课后习题答案王毓银第三版无水印_第2页
数字电路逻辑设计课后习题答案王毓银第三版无水印_第3页
数字电路逻辑设计课后习题答案王毓银第三版无水印_第4页
数字电路逻辑设计课后习题答案王毓银第三版无水印_第5页
已阅读5页,还剩123页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第一章课后习题详解1把下例二进制数转换成十进制(1)11000101解197212121210267211000101)(2)101101解026722121212111000101)(3)001101解437502121215322011010)(4)10101010011187585212121212121430246200111010101)(5)10100110010562541212121212141035210010101001)(2把下列十进制数转换成二进制数(1)515121100110136122522222(2)1364222226834176813620001012220001(3)1234解整数部分(3)1222263112200001小数部分03420680213612072021441)()(010111003412210(4)0904解090421808108082161610616212321)()(11109040210(5)105375解整数部分322222522613610521001012111小数部分0375207500215001210001)()(01111010013751052103把下列各位数转换成十进制数(小数取3位)。(1)16878)(解165120)(101015120168168167)(216FCA3)(解16FCA3)(10012316330161016121615163)(3)81011)(解81011)(10002212565818181)(4)87432)(87432)(1021114066082838487)(4完成数制转换(1)82166AB3)(解82163526611011000111010106AB3)(2)82167432)(B解82165562062101101111001000011007432)(B(3)1621027163)(解16210A34011010001127163)(4)821031754)(整数部分232222237718894477542010015112211221210018210231362010011101111001031754)(5列出下列各有权BCD代码的码表。(1)6421码(2)6311码(3)4321码(4)5421码(5)7421码(6)8424码解各代码如表所示十进制数码6421码6311码4321码5421码7421码8421码01234567890000000100100011010001011000100110101011000000010011010001010111100010011011110000000001001000110101100110101011110111100000000100100011010010001001101010111100000000010010001101000101011010001001101000000011001001010100011101101001100010116完成下列各数的转换。(1)码()(8421102673解码)()(84211010011010011100116731(2)码余()(BCD3106731解码余)()(BCD31010011010011001006731(3)码()(BCD242110465解码)()(BCD242110110100110010465(4)10BCD631111101101000()(码解10BCD631870111101101000)()(码(5)10BCD84210101111000020220()(码解10BCD842185970101111000020220)()(码第2章逻辑函数及其简化1列出下述问题的真值表,并写出逻辑表达式。(1)有A,B,C,2个输入信号,如果3个输入信号均为0或其中一个为1时,输出信号Y1,其余情况下,输出Y0;(2)有A,B,C,2个输入信号,当3个输入信号出现奇数个1时,输出F为1,其余情况下,输出F为0;(3)有3个温度探测器,当探测的温度超过60时,输出控制的信号为1;如果探测的温度低于60是,输出控制信号Z为0当有两个或两个以上的温度探测器输出1时,总控制器输出1信号,自动控制调整设备,使温度下降到60以下。试写出总控制器真值表和逻辑表达式。解ABCYFZ000001010011100101110111111010000110100100010111(1)据题意3个输入信号A,B,C在不同取值组合下的输出Y被列在表251中,故Y的逻辑函数表达式为YCBACBACBACBA(积之和)()()(CBACBACBACBA和之积(2)由于当3个输入信号出现奇数1,输出F为1,所以给逻辑功能为奇校验器,其输入A,B,C在不同取值下对应的输出F被列在表251中,F的逻辑函数表达式为FABCCBACBABA(积之和)()()(CBACBACBACBA和之积(3)设3个温度探测器的输出信号分别为A,B,C,当温度大于60时为1,温度小于等于60时为0设总控制器输出为Z,A,B,C与Z到关系列表251中。Z的逻辑表达式为ZABCCBACBABA)()()(CBACBACBACBA(和之积)2用真值表证明下列等式(1)BACABCCAAB证明当A,B,C取值在000111变化时,左式和右式的逻辑值如表252所示,左式右式。表252ABC左右0000010100111001011101110101001101010011(2)ACBCABCBCABA证明当A,B,C在所有取值组合下左式和右式的逻辑值如表253所示,由真值表知,左式右式。表253ABC左右0000010100111001011101111110100011101000(3)ABCABABCACABCBCCABCBABCA证明当A,B,C在所有取值组合下左式和右式的逻辑值如表254所示,由真值表知,左式右式。表254表254ABC左右0000010100111001011101110001011000010110(4)CBAABCCBCABA证明当A,B,C在所有取值组合下左式和右式的逻辑值如表255所示,由真值表知,左式右式。表255ABC左右00000101001110010111011110000001100000013直接写出下列各函数的反函数表达式及对偶函数表达式(1)EBCDBAF解BEDCBAFBDECBAF2DCBDCDBBCAFFFDCBDCBDCBADCBDCBCDBA3CBAABCF1F1FCBABACCBABAC4EBECDBCCDABFEBECDCBDCBABEECDCBDCBAFF4用公式证明下列各等式(1)DCAABDCBCAAB证明右式(多余项)(左式DCAABABBCDCBCAABBCDCA(2)BCABCDCABACA右式证明左式BCABCACDAACDBCABCACDBCCBA(3)BDCBCBBCDDCBCDBADCBAACDDCBDCB右式)(多项式左式BDCBCBBCBDCBACDCBDCBACDBDCBACBDCBACDBDCBACDBDCBDCBCDBACDBDCBADCBBDDADCBDCBDCBAACDBCDDCBCDBADCBDCDBDDCBCDBAA41DCADBABCDCDBAB右式1DCDCBDCBDBDCDCCBDCBDBDCCBDCDBDCDBACBDCDBABDCADBABCDCDBAB(5)YZXWZXYVXWVXYUXWUXUVZWYX证明设右式为F,对其求对偶FYUVZXWYXZWYXVWYXUWXZYXZWXVYXVWXUYXUWFFF左式UVZWYX5证明(1)BABA证明左式BABA右式BABA所以左式右式(2)ABABABAB证明ABABABABABABABABABABABABABABABABABAB即等式成立。(3)ABCABC证明ABABCABABCABABCABABCABABCABCABCABC左式右式4CABCABCABABCABABCABABCABABABABCABABCABABCABABCABABCABCABC证明左式ABCABCABC右式5ABCABCABCABCABCABCABCABCABCABCABCABCABCABABCABABCABC证明利用ABAB即等式成立(6)ABCABCCBA证明ABCABABCABABCABABCABCABCABCABC利用ABABABCBCABCBCABCABCABCBCABCACBA7ABCDABACAD证明右式ABACABACADABABACACABABACACADABCABCABCABCADBCBCADBCADBCADADBC利用ABABADBC左式8MCDMCDMCMD证明右式MCMCMDMDMCDMCD左式9若XY1,则X1Y,Y1X证明由于XYXYXY1说明XYX1X10XYY1Y10YX6证明1如果ABABC,则ACACB,反之亦成立证明ACACAABABAABABAABABAABABABABBABABAACACAACACAACACACACACCAXBYABBXAYXYAAAXAYXYAXAYAXBY2如果ABAB0,则AXBYAXBY证明由ABAB0,得AB,即ABAXBYAXBY7写出下列各式F和它们的对偶式、反演式的最小项表达式F4,6,11,12,14,150,1,2,3,5,7,8,9,10,132,5,6,7,8,10,12,13,14,151FABCDACDBD解经配项把化成最小项表达式,在用例236的方法求解。FA,B,C,DABCDABCDABCDABCDABCDABCDMFA,B,C,DMFA,B,C,DMF2,3,4,5,70,1,61,6,72FABABBC解经配项把化成最小项表达式FA,B,CABCABCABCABCABCMFA,B,CMFA,B,CMF1,5,6,7,8,9,13,14,150,2,3,4,10,11,123,4,5,11,12,13,153FABCBDADBC解原式ABCBDADBCACBCBDADBCABCADACDBCDBDBC经配项把化成最小项表达式FA,B,C,DMFA,B,C,DMFA,B,CM8用公式法化简下列各式1FABCACDAC解原式ABCCACDABACACDABCAADABACCD2FACDBCBDABACBC解原式ACDBCBDABACBCACACDBCBDABBCCCBCCACDCBCABBDCADBABBDCADB3FABABCACBCD解FABABCACBCDABACBCDABACFFABAC4FABABBCBC解原式ABABBCBCACABBCAC5FACBCBACAC解原式ACBCBACACABCBCACBCAC9用图解法化简下列各函数(1)化简题8中(1)(3)(5)解1FABCACDAC填入卡诺图(图251)中,经画圈合并得11CDAB0001111000111111011110FABCDAC3FABABCACBCD填入卡诺图(图252)中,经画圈0合并得000CDAB000111100000000011110FABAC5FABCACBC填入卡诺图(图253)中,经画圈1合并得CAB000111100111FACBC2,0,1,3,5,6,8,10,15FABCDM填入卡诺图(图254)中,经画圈1合并得11111111CD00011110AB100011110FABCABDACDABDABCDABCDFBCDABDACDABDABCDABCD或3,4561314,15FABCDM,填入卡诺图(图255)中,经画圈1合并得1CDAB0001111000110111111FABCABDBCDFABDBCDABC或104,4,5,6,8,9,10,13,14,15FABCDM填入卡诺图(图256)中,经画圈1合并得11CDAB000111100011101111111FABCABDABCBCDACD105,0,1,4,7,9,102,5,8,12,15FABCDMD填入卡诺图(图257)中,经画圈合并得111111FBCACBDBCD6,4,5,6,13,14,158,9,10,11FABCDMD填入卡诺图(图258)中,经画圈合并得1AB0001111000011110CDCD00011110AB0011011111110FABCADBCD7,5,7,13,15FABCDM填入卡诺图(图259)中,经画圈合并得CDAB00011110000001001110FBD8,1,3,9,10,11,14,15FABCDM填入卡诺图(图2510)中,经画圈合并得0000000FBDAC9,0,2,4,9,11,14,15,16,17,23,25,29,31FABCDM解令A0和A1两种情况构造两张四变量卡诺图,并将逻辑函数填入图2511中,经合并得1111111AA0111111CD011110AB0001111000011110CDCD00011110AB0000011110AB00011110BA1FABCEBDEBCDBCEABCDCDEBDEABCEABDEABCDABCEABCDACDEABDE10解令A0和A1两种情况构造两张四变量卡诺图,并将逻辑函数填入图2512中,经合并得,1,2,3,4,5,7,8,10,12,13,14,17,19,20,21,22,23,24,26,28,29,30,31FABCDM11111111111(A)A0111111111111AB0001111000011110CDAB0001111000011110CDFABECDBEBCDABECDCBECDBEACABCD1写出图451所示电路的逻辑函数表达式。解由图451从输入信号出发,写出输出的逻辑函数表达式12,YY12YABCABCABACBCABCABCABCABCYABACBC2写出图452所示电路的逻辑函数表达事,其中以作为控制信号,A,B作为数据输入,列表说明Y在作用下与A,B的关系。3210,SSSS3210,SSSS解本电路由一个非门,两个与或门合一个异或门组成,写出Y的逻辑函数表达式并进行化简0123103210233201233010122301|YASBSBABSABSABSBSABSBSABSBSABSABSASBSBABSBSABSABSABSABSBSSBSSABSABSABSABS将上式中的分别取值00001111,即得出Y与A,B的关系如表451所示。3210,SSSS表4513S2S1S0SY0000000100100011010001010110011110001001101010111100110111101111AABAB0ABBABNULLABABABBAB1ABABA3分析图453所示电路,写出COMP0,Z1及COMP1,Z0时,的逻辑函数表达式。列出真值表,指出电路完成什么逻辑功能。1YY4解1但COMP0,Z1时,12340YYYY2当COMP1,Z0时,131223223234234,YAYAYAAAAAAYAAA将取不同值,求出填入真值表452中。从表中可以看,当取值在00001001(即为8421BCD)时,满足1234AAAA1234YYYY1234,AAAA1234AAAA10011234YYYY所以该电路对输入BCD码,求“9”的补码1234AAAA表4513S2S1S0S4321YYYY000000010010001101000101011001111000100110101011110011011110111110011000011101100101010000110010000100000111011001010100001100104在既有原变量输入,又有反变量输入的条件下,用与非门实现下列逻辑函数的组合电路。1,0,2,6,7,10,12,13,14,15FABCDM解将F填入卡诺图,并对“1”格圈圈合并,如图454所示,得到最简与或式为1010001001101111FABBCCDABD两次取反,得到与非门实现FABBCCDABCNULLNULLNULL(2),0,1,3,4,6,7,10,12,13,14,15FABCDM解将F填入卡诺图,并对“1”格圈圈合并,如图456所示,得到最简与或式为1110AB0001111000011110CDCD00011110AB10101110011100011110FABBCACDABDACD两次取反FABBCACDABDACDNULLNULLNULLNULL(3),0,2,6,7,10,12,13,14,15FABCDM解将F填入卡诺图,并对“1”格圈圈合并,如图457所示,AB1110010011101110FADACABBCBD两次取反FADACABBCBDNULLNULLNULLNULL(4),0,1,4,7,9,10,132,5,8,12,14,15FABCDMD解将F填入卡诺图,并对“1”和“”格圈圈合并1111110010001111000011110CDCD00011110AB00011110FCBDAD两次取反,得FCBDADNULLNULL(5),0,1,3,4,12,145,6,7,9,11FABCDMD解将F填入卡诺图,并对“1”和“”格圈圈合并FBDACAD两次取反,得FBDACADNULLNULL11101010010(6)1,2,4,5,6,7,10,13,14,15FABCDM2,2,5,8,9,10,11,12,13,14,15FABCDM解将两函数填入如图4510所示的卡诺图中,因为两个函数的逻辑变量是相同的,化简时应尽可能共用乘积项减少与非门的数目。化简后的与或式为12,FF0100AB0001111000011110CDCD000111100110011011110011011100111011000111100001111000011110CD12FABBCBCDBCDFABCDBCD两次取反,得12FABBCBCDBCDFABCDBCDNULLNULLNULL画出实现两个函数的逻辑电路如图45115在既有原变量输入,又有反变量输入条件下,用或非门设计实现下列逻辑函数的组合电路。(1),0,1,2,4,5FABCM解F填入卡诺图,并对“0”格圈圈合并1101CAB000111101001FABBC两次取反,得FABBC(2),0,1,2,4,6,10,14,15FABCM解F填入卡诺图,并对“0”格圈圈合并110010000010111101AB00011110000111CD10FACABDBCD两次取反FACABDBCD(3),2,5,8,123,9,10,11,13FABCDMD解对图4515进行圈“0“合并得00110100100AB0001111000011110CDFBCACDBD两次取反,得FBCACDBD6在只有原变量输入没有反变量输入条件下,用与非门设计实现下列逻辑函数的组合电路。(1)FABACDACBC解原式中有ABACABACBCABBCABBCACACBCACBCAB将多余项,BCACAB加入到原式中得FABACDACBCBCACABABCBACCABACDAABCBABCCABCACD两次取反,得FAABCBABCCABCACD2,1,5,6,7,12,13,14FABCDM解经化简,得到最简与或式为FABCACDABCBCD上式中ABCBCDABCBCDABD,给式中加入多余项得FABCACDABCBCDABCDBCADACDABCDBCADDADCDNULL两次取反,得FABCDBCADDADCDNULLNULLNULL有2各尾部因子,ADCD实现此逻辑共需要3个与非门(3),1,3,4,5,6,7,9,10,12,13FABCDM解化简得FADABCDBCACBDDACBACACBDDACDBABCACACBACDNULLNULL两次取反,得FDACDBABCACACBACDNULLNULLNULLNULL共需要6个与非门实现逻辑(4),0,1,2,4,9,11,13,14FABCDM解化简得|FACDABCABDADCADBABCDACDABCDADBCABCCDNULL两次取反,得FACDABCDADBCABCCDNULLNULLNULL共需要11个与非门,实现的逻辑图(5),1,2,4,5,10,12FABCDM解化简得FBCDACDBCD经检验,由BCDACDBCDACDCDA产生的任意项CDA无助于减少尾部因子,对最简式直接两次取反,得FBCDACDCDANULLNULL需要8个与非门实现。(6),1,5,6,7,9,11,12,13,14FABCDM解经化简,最简或与式为FCDABDABDABC上式中,有FCDABDABDABCABCBCDABCDBCADCDADBABCDBCACDDCDADABD对上式两次取反得FABCDBCACDDCDADABDUUUURNULLNULLNULL需要7个与非门实现。(7)1,0,1,2,4,5,6,8,10,14,153,7,11FABCDMD2,0,1,2,4,5,6,8,9,10,12,13,153,7,11FABCDMD解经化简得12FACBDFABCD两次取反,得12FABBDACBDFABCDNULL需要6个与非门实现。7用或非门设计实现题6中个逻辑函数的组合电路解可将各式填入卡诺图,进行圈“0“化简,得到最简或与式,求对偶F,按同6题的方法进行变换。然后求F(F),两次取反,即得到仅有的原变量输入下的或非门实现。(1)将原式用直观法填入卡诺图,并圈“0“合并,如图4517所示0111CDAB00011110011111011101FABCABC00011110显然无法再进行变换,两次取反得FABCABC共需要6个或非门,实现电路。(2)将原本填入卡诺图,经圈“0“合并,得到最简或与式为FABBCACDACD两次取反,得FABBCACDACD共需要8个或非门(3)原式的最简或与式为FABDABCBCDACDABDABCBCDACD共需要9个或非门。(4)原式的最简或与式为FACDABDBCDABDABCACDACDABDBCDABDABCACD共需要11个或非门实现(5)原式的最简或与式为FADBCCDBCDFADBCCDBCDDACBCBCDFFDACBCBCDDACBCBCDNULL共需要8个或非门实现(6)原式的最简或与式为FBDABCACDABCDBDABCACDABCD共需要9个或非门实现(7)最简或与式为12FABCABDABCABDFABCDABCD共需要9个或非门实现8已知输入信号A,B,C,D的波形如图4518所示,选择集成逻辑门设计,实现产生输出F波形的组合电路。解由图4518的波形图,可直接得到A,B,C,D在各种输入组合的F,填入卡诺图,并圈“1“合并,如图4519所示。0110111111010100AB0001111000011110CD得到最简或与式为FCDBCAC根据CDACCDACADBCACBCACAB将生成项ADAB和加入以上最简与或式,得FCDACADBCABCBDABCDCBCDABCDCBCDABCD两次取反得FNULLCBCDABCD共需要4个与非门,实现的逻辑电路如图4520所示9设计一个编码器,6个输入信号和输出的3位代码之间的对应关系入表453所示输入输出0A1A2A3A4A5AXYZ100000010000001000000100000010000001001010011100101110解由真值表可直接写出该编码器的逻辑函数341255024XAAAYAAAAAAZ其逻辑电路如图4521所示10用2输入端与非门实现下列逻辑函数(要求器件数最少)(1)FABCABCABC2FABCACDABCDABCD解(1)原式ABABCBCABCACABCABBCACABCABBCACABCABBCACABCABBCACABCNULLNULLNULLNULLNULLNULLNULLNULLNULLNULLNULL共需要11个2输入与非门(2)可以对原函数求反F,最后在取反,得到F的最少门实现,将原函数用直观法填入卡诺图(如图4522(A),将每个小格中的值取反(即0变1,1变0),得到F的卡诺图如图4522(B)所示。1000CDAB0001111000100001110001011110AB01110111100011100001111000011110CD对F进行图“1”合并,得到FACBCBDABCBCDACABCBCDACABCFFBCDACABCNULLNULLNULLNULL共需要13个2输入与非门。11用与非门实现下列代码的转换(1)8421码转换为余3码(2)8421码转换为2421码;(3)8421码转换为余3格雷码;(4)余3码转换为余3格雷码其转换表见表454解题目要求将某种输入码转换成另外一种输出码。求解时我们输入码做外输入变量,输出码做外输出逻辑函数,对于不存在的输入码组合,当作任何项处理。将输出码填入卡诺图,进过合并,即可得到最简与或式。8421码3A2A1A0A余3码3B2B1B0B2421码3C2C1C0C余3格雷码3D2D2D0D0000000100100011010001010110011110001001001101000101011001111000100110101011110000000001001000110100101111001001111011110010011001110101010011001101111111101001将8421码3A作为输入,余3码2A1A0A3B2B1B。作为输出,在一张卡诺图中填入0B3B2B1B四个输出函数如图4523,它的等效图4524的张卡诺图0B0011011110113A2A010010001100011010100101100100101001013B01010110102B00011110000111101AA03A2A00011110000111101AA03A2A00011110000111101AA01113A2A00011001B11100000110B用与非门实现的电路如图4525所示。(2)以8421码3A作为输入变量,2421码作为输出变量,填写卡诺图如图4526所示。2A1A0A3C2C1C0C000001001110000110111111001111010010110000011110000111101AA03A2A00011110000111101AA000011110000111101AA012分析4532所示电路。写出的逻辑函数表达式。123,FFF解图4532利用4/10译码器(十进制译码器)实现多输出逻辑函数,由图知123,FFF13分析图4533所示电路T图中和为两位十进制数的8421BCD码,输出为二进制数。请写出输出二进制数与输人8421BCD码之间的关系。0801DD1811DD先用手工将D按位权展开,注意十位的每位位权应乘以10,有将数据用D来表示,有为找出BCD码与二进制数的关系将上式各位权化成2K显然,将二位842IBCD码转换成二进制数6543102BBBBBB后,应满足;而图4533完成的运算如下刚好可以满足以上转换的要求。14用8选L数据选择器实现下列函数解将F函数展开把相同的乘积项合并,得将逻辑变量A,B,C分别与8进1数据选择器的地址端连接,则8选1的逻辑函数成为把以上F和Y对比,得出面出用8进L数据选择器实现本逻辑函数的电路如图4534所示。解将原式展开8选1选择器的地址端只能接纳3个变量,我们将A,B,C相月的乘积项合并得把逻辑变量A,B,C与8选1数据选择器的地址端相连,并将上式与数据选择器的逻辑函数对比,有210AAA用数据选择器实现此逻辑的电路如图4535所示。以上采用了降维法即用一片8选1数据选择器实现两个组合逻辑函数,当然也可以用扩展法来实现,完成12两逻辑函教的电路,分别见图4536和图4537。15用双4选1数据选择器实现11中的代码转换。解由于每片双4进1有二个输出,所以共需两片双4选1数据选择器。每片双4选1只能有两个地址端,所有另外两位输入变量应设法折合到4选1的数据输入端03DD1从题11中的1小题可知可以看出,均与如有关系,故拟选用与4选1地址端相连,将上式进行配项,尽量使每个乘积项中包含0BB301AA01AA01AA将上式与4选1逻辑表达式对比,可直接画出用两片双4选1数据选择器实现8421码转换成余3码的电路如图4538所示。注意如果给也配项,则使电路复杂化。2由题11中的2小题可知可以选用与双4选1的地址端相连接,对上式进行配项同4选L的逻辑表达式对比,即可画出用两片双4选1数据选择器实现8421码转换为2421码的电路如图4539所示。(3)由题11中的(3)小题可知可以用一片双4选L实现函数,与其地址输入端相连,另外一片双四选L实现与其地址端相连。为此对上式作以下变换;0DD,101AA32DD32AA将以上两组逻辑函数分别与对比,即可画出用两片选4选1数据选择器实现8421码转换为余3格雷码的电路图如图4540所示16用4位数值比较器和4位全加器构成4位进制教转换成842LBCD码的转换电路。解教材上读者已经知道,当4位二进制散转换为8421BCD码时若大于1001即十进制的“9”时,应进行调整方法是给原数加上“0110”即十进制6、按此方法,直接画出本题目的电路如图4542所示。17试画出数字显示译码器驱动七段数字显示器的系统连接图,要求一共有7块显示嚣,小数点前有4位整数,后有3位小数。解对最高位,当输入驱动器的口位数据为0000时,应不显示0,故其RBI0。当RBO成功灭零后其RBO0,将它与位的2ARBI相连接可实现逐位灭0。对小数部分,当最低位的输人数据为0000时,应不显示0。其2BRBI也应与次低位2B的RBI相连,余类推。七位显示器及驱动电路示意图如图4543所示。18画出3片4位数值比较器组成的12位数值比较器的连接图。解每片4位数值比较器只能比较四位数,所以需要3片才能进行12位二进制数的比较。连接图如图4544所示。图中对两个12位二进制数。和0A11B0B的大小进行比较。I片比较低4位,片比较中4位,片比较高4位,I,片的“P0”,“PQ”,“P”,“,“”,“”接O,“”接1。19试用两片双4选1数据选择器接成一个16选1数据选择器,连接时允许附加必要的门电路。解两片双4选1中共包古四组4选1数据选择器并联连接可扩展成16选1。四组4选1一共需要4个选通信号可ST,选通信号由16选1的高两位地址线。译码产生。16选1的低两位地址与所有四位4选1相连。设四组4选1的选通信号分别为32AA10AA0ST3ST,它与的关系如表455所示。其关系式为用两片双4选1数据选择器组成的16选1数据器如图4545如果手头有2/4译码器,可更简单地实现16选1,其电路如图4546所示20试利用一片二一十进制译码器。接成1位全减器即1位带借位输人的二进制减法电路,可以附加必要的门电路。解假设1位全减器完成A减去B,借位输入低位向本位的借位为。借位输出本位向高位的借位。本位相减结果为F,列出1位全减器的功IC0C能如表456所示。写出F和的最小项表达式0C可以将二一十译码器的低3位地址线分别与A,BC。连接高位地址钱接地,由此得到的1位全减器电路如图4547。3A用并行4位全加器接成将余3代码转换成BCD代码的转换电路。解余3码转换成842IBCD码,应做“减3”的逗算但全加器只能做加法运算。不过有余3码3余3码一3余3码1101补补补余3码在数值上即为余3码。负数的补码为其绝对值的反码加1因此3的求法是先把0011取反,变成1100,再加上1,最后成为1101。这样全加器的一组加数输入余3码另一个加数为固定常数1101,其连接线路如图4548所示。补补22某化学试验室有化学试剂4种编为第124号在配方时,必须遵守以下规定1第一号不能与第15号同时用;2第二号不能与第10号同时用;3第5,9,12不能同时用;4甩第7号时,须同时配甩第18号;5用第10,12号时必须同时配用第24号。请设计一十逻辑电路,能在违反上述任何一十规定时发出报警指示信号。解设报警指示信号为F,综合以上5条规定,F的逻辑函数为实现该逻辑的电路示意图如图4549所示。23在输入既有原变量,又有反变量条件下,用与非门实现逻辑函数1判断在哪些输人信号组合变化条件下,可能发生冒险;2用增加多余项方法消除逻辑冒险;3用取样方法避免冒险现象。解1在以下几种情况下,可能发生冒险2为了消除以上3种情况下可能产生的冒险,根据在原式中加入多余项目,BDABCACD实现该逻辑的电路图姐图4550所示。55课后习题详解1由两个与非门组成的基本触发器能否实现钟控试说明理由。答不能实现钟控。如果像图551这样连接,当CP1时,仍为基本触发器;而当即CP0时,QQ1。无法保持CPL对的状态不变。2分析两个或非门组成的基车触发器,写出状态转移方程、真值表及状态转移图。解在例531已对图532的或非门组成的基本触发器作了分析,其状态方程为其状态转移真值表如表551所示。由真值表得到激励表如表552所示,状态转移图如图552所示。3分析图553所示两个与或非门构成的基本触发器写出其状态方程、真值表及状态转移图。解经分析,状态真值表为表553,它与两或非门交叉耦合组成的基本触发器的状态方程、真值表、状态转移图相同,不过成立的条件是CP1。当CP0时状态不变即。1NNQQ6证明554所示电路具有JK触发器的逻辑功能。解当CP0时,触发器状态不变。此时,NQQN;当CP1时,代人基本触发器的状态方程得约柬条1NNDDSRJQKQ满足。因此该电路为JK触发器。7主从JK触发器的输人端渡形如图555所示,试画出辅出端的工作波形。解主从JK触发器的QQ的波形见图555。8维持阻塞D触发器的输入波形如图556所示,试画出输出端的工作波形。解维持阻塞D触发器仅在CP的上升沿状态跟随D变化Q波形如图556所示。9边沿下降沿触发的JK触发器输入端波形如图555所示试画出输出波形。解下降沿触发器的波形画图啊、555中10分别画出图557(A)所示电路的,去输入波心其输入爆557(B)解图A左边,为下降沿触发的JK触发器,将J,K值代入JK触发器的状态方程,得其真值表见表554,在图B画出的波形。1Q图A右边,为上升沿触发的D触发器,故其状态方程为其功能表如表555所示,在图B中西出的波形图。2Q11画出图558所示电路输出波形。设初始状态OV0OV解图A中,,代入基本触发器的状态转移方程,得列出其真值表见表556状态不定是这样确定的。当,此时若A出现上升,同时出现上升沿,状态不定由此将V波形画在图558B中。13试画出图559所示电路中QT,Q的波形。解图中,A为CP1,B为CP2,D1Q2N,DQ1N,按此关系直接在图559B中画出Q1,Q2波形。14试画出图5510所示电路中Q2的波形。解为了画Q的波形,可首先画Q1的波形。Q1,Q2的波形见图5510B。15在图5511A所示电路中,已知输入信号V1的电压波形如图B所示试画出与之对应的输出电压V0的波形,触发器为维持阻塞结构,初态为0。提示考虑触发器和异或门的延迟时间。解将Q反馈至D端,触发器变成为T触发器,QN1QN每个CP上升沿状态翻转一次。而CP由V1产生,由此面出波形如图55NB所示。图中带箭头的指示线反映V1,Q,V0之间的影响关系。16圈5512A所示主从JK触发器电路中。CP和A的波形如图5512B所示,试画出Q端对应输出波形,设初始状态为O。解由图A知,直接清D信号,由此直接画出Q端的渡形如图B所示。17圈5513所示是用CMOS边沿触发器和异或门组成的脉冲分频电路,试画出在一系列CP,脉冲作用下和Z端的输出波彤设触发器的初始状态皆为O。解图中;由此画出的渡形如图5514所示。18图5515所示是维持一阻塞D触发器的脉冲分频电路试画出0端对应输出渡形设韧始状志为O。解圈中在CP上升沿触发在CP下降滑触发,由此画出的波形如图5516所示。65课后习题详解2分析图651所示的时序电路的逻辑功能写出电路驱动方程。状态转移方程和输出方程,画出状态转移图。说明电路是否具有自启动特性。解该电路为用3个下降沿触发的JK触发器组成的同步时序逻辑电路。驱动方程为该电路没有输出。假设初始状态Q3NQ2NQ1N000,代入以上状态方程Q3N1Q2N1NQ1N1001,再令Q3NQ2NQ1N00,求得Q3N1Q2N1NQ1N1010,余类推。按此方法得到如图652所示的状态转移图。该电路的模值为6的计数器,有效序列为000001010011100101,将两个偏离状态110和LLL代人状态方程同样得到其次杰。由图知110经过两个CP,111经过1个CP均可回到主循环,所以电路具有自启动特性。3分析周653所示时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,面出状态转移囤,说明电路是否具有自启动特性。解电路采用四级JK触发器,下降沿触发,为异步时序电路。其驱动方程为假设初值代入以上状态及输出方程求出次态及输出。再将状态作为新的初态代入求解,即可得出如表651所示的状态转移表,也可画出如图654所示的状态转移图由状态表和状态知该电路具有自启动特性。4分析图655所示时序电路的逻辑功能写出电路的驱动电路,状态转移方程和输出方程画出状态转移图,说明电路是否具有自启动特性。解该电路由两个上升沿触发的D触发器组成同步时序电路,其驱动方程为状态转移方程及输出方程为得到状态转移图如图656所示,电路不具有自启动特性,5分析图657所示的电路,写出驱动方程,状态转移方程和输出方程,画出状态转移图。解此电路为同步时序电路,两个JK触发器下降沿触发,A为输入,F为输出。驱动方程为状态转移方程和输出方程为假设初态Q2NQ1N00依次代入得到状态转移如图658所示可见此电路具有自启动特性。6分析图659所示时序电路,写出驱动方程、状态转移方程和输出方程画出状态转移圈及在时钟CP作甩下和F的工作波形,解四级后沿触发的JK触发器组成同步时序电路。电路无输入输出为F。各级触发器的驱动方程为得出状态转移方程和输出方程为依次代入Q1Q2Q3Q4韧值求得其次态及输出F,得到如图65LO所示的状态转移图。由图知此电路为模8的减法计数器,有效序列为876543210个偏离状态经12个CP均可回到主循环,此电路具有自启动特性。画出主循环状态的波形如图65LL所示。7分析圈6512所示时序电路,画出状态转移图,并说明该电路的逻辑功能。解此电路为由四个上升沿触发器组成的同步时序逻辑电路,可看出24号触发器的状态均由低一级触发器的输出移位而来,故为四位移位寄存器。1号触发器的D端为串行移位输入端,4个与非门组成了移位输人信号,Q4作为输出F信号。由于为D触发器,直接写出状态转移方程及输出方程为得出状态转移如图6513所示。此移位寄存器构成了模9的计数分频器,本身也是序列信号发生器。产生的序列信号为000101111。序列信号可从Q4Q1。的任一个状态输出只不过不同输出点起始位不同而已,8分析图6514所示的时序电路,并画出在时钟CP作用下Q2的输出波形设初始状态全为0,并说明Q1,输出与时钟CP之间的关系;解三个上升沿触发的D触发器构成异步时序电路,由图知各级触发器驱动方程和时钟信号为得出各级触发器的状态转移方程为显然这是T触发器,在时钟上升沿到来时状态翻转,直接画出CP时钟与Q1,Q2,Q3岛的波形如图6,5,15所示。每35个CP,Q2循环一个周期。画波形时,先根据寻找其上升沿有了上升沿,Q13CPCPQ1翻转,若Q1有上升沿则Q2翻转,若Q2有上升沿则Q3翻转,所以按CPCP1Q1Q2Q3的分析思路,就可面出CP与Q1,Q2,Q3龟的关系曲线。9分折图6516所示时序电路写出状态转移方程,井画出在时钟CP作用下,输出A,B,C,D,F及F的各点波形,说明该电路完成什么逻辑功能。解四级下沿触发的JK触发器和7个与非门组成异步时序电路。该电路有A,B,C,D,F,F共7个输出。4个触发器的驱动方程为4个触发器的时钟分别为,写出电路的状态转移方程为输出方程为此电路的状态转移及输出关系如表652所示。在CP作用下A,B,C,D,E,F,F的波形如图6517所示。由图6517可看出A,B,C,D,E,F,F,轮流输出负脉冲,故为顺序脉冲发生器,每循环两轮为一个周期F输出一负脉冲。LO设计对称2421码十进制同步计数器触发器自选。解对称2421码的十进制计数器之状态转移如表653所示,所谓对称指Q4。在一个循环中5个0,5个L,或者说Q4为方波。将表653填人卡诺图图6518中。若采用JK触发器,画圈合井时使逻辑表达式与JK触发器的状态方程保持一致。比如1444NNQJQKQN即对14NQ画圈时每一个乘积项包含4NQ或者4NQ。与JK触发器状态方程比对,得出各级触发器的驱动方程为由此画出电路如图6519所示。由于每个循环中,Q4只有一个下降沿,故直接将Q4作为输出Z可简化电路。11设计模7同步计数器(触发器自选)。解需要3位触发器,7个状态设为000,001,010,011,100,101,110其状态转移表如表654所示。将13NQ,12NQ,11NQ填人图6520的卡诺图中。若采用JK触发器,按照与10题相同的原则台并如下得出驱动方程为面出如国6521所示的逻辑电路图。12设计模5同步计数器触发器自选,要求在时钟信号CP为方渡时输出也是方波。解模5计数器需要5个状态,故要3个触发器状态选为000001010011100,列出如表655所示的状态转移表,井填入如图65、22所示的卡诺图中。若采用JK触发器则得到如下的状态转移方程及输出方程将偏离状态101110,111代入上式将结果填入表65

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论