汽车尾灯控制电路课程设计_第1页
汽车尾灯控制电路课程设计_第2页
汽车尾灯控制电路课程设计_第3页
汽车尾灯控制电路课程设计_第4页
汽车尾灯控制电路课程设计_第5页
已阅读5页,还剩35页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、汽车尾灯控制电路设计1. 综 述本课题要求设计一个汽车尾灯的控制电路。该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。假设汽车尾灯左右两侧各有三个指示灯(用发光二极管模拟),要求是:汽车正常远行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1hz的脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。触发器用于产生三进制的的循环信号,此信号提

2、供左转、右转的原始信号。左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过与门,实现与刹车左、右转电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。设计本电路是考虑了三种以上的设计方案。这几种方案的不同在于产生三进制计数器。理论部分已用multisim7软件进行仿真,并且达到设计要求。实际部分在电子实验室和同组的成员在老师的指导下一进行模拟,能够达到理论设计要求。在设计的过程中应该本着元件通用化,成品化,以满足大规模生产的要求,以便在日后产品的更新维护能够更好的方便的进行

3、。同时也要尽量减少设计过程中竞争冒险现象出先的概率。使产品在使用过程中能够稳定的运行,达到良好的无故障率。二者必须达到一定的标准,才能在工厂进行量产。2. 方案设计与分析方案一:该方案通过74ls160或74ls161计数器构成能产生00、01、10三种状态循环的信号。方案二:通过双 j-k 触发器 74ls76来产生00、01、10的三种状态信号方案三:通过d触发器产生00、01、10的三种状态信号 方案四:通过t触发器产生00、01、100的三种状态信号第一种方案非常简单,但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。所以不推荐使用,相对的是

4、第二种方案,效果是最好的,但设计起来比较复杂,需要极大的细心和耐心,最后我们决定采用第三种方案,设计的复杂程度适中,而且达到了预期的设计目的3电路设计框图及功能描述555计时器d触发器逻辑电路周期为1秒的脉冲左转右转分拣电路左转右转原始信号左转右转转向信号与刹车、行驶信号分拣电路分拣后的左转右转信号刹车正常行驶电键发光二极管表3.1系统框图表3.2 尾灯与汽车运行状态表 开关控制运行状态左尾灯右尾灯d4d5d6d1d2d3oo正常运行灯灭灯灭o1右转弯灯灭按d1d2d3顺序循环点亮1o左转弯按d4d5d6顺序循环点亮灯灭11临时刹车所有的尾灯随时钟cp同时闪烁电路组成及工作原理:经过以上所述的

5、设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1hz的脉冲信号,该脉冲信号用于提供给d触发器和刹车时的输入信号。2个d触发器用于产生三端输出的00、01、10的循环信号经过74ls138译码器,将信号转换为左转、右转的原始信号,这部分电路起到信号分拣的作用。左转、右转的原始信号通过6个与门以及非门提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。 控制电路主要是将电路的四个状态分离出来,以产生不同的工作状态。最终使电路能够得到的信号即可输出到发光二极管上,实现所需功能。4电路原理设计及参数计算 译码电路显示驱动 电路三进制计数器开关控制电路 4.

6、1由555定时器构成的多谐振荡器: 由555定时器构成的多谐振荡器时输出频率为: g故电路的震荡周期为震荡频率为,经过计算,这里选择=2.28k欧姆,=6k欧姆,c=100u法拉,则输出信号为1兹(周期为1秒)图4.1.1对其进行调试如图:图4.1.24.2 d触发器逻辑电路:由于汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (si 、s0 、 cp 、 q1 、 q0 )的关系 , 即逻辑功能表如表4.2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状态 )开关控制三进制计

7、数器六个指示灯s1s0q1q0d6d5d4d1d2d3ooooooooooooo1ooo1o1oooo1o1oooooo1oooo1ooo1oo1o1oooo1o1ooooo11cpcpcpcpcpcp 其状态图如下图所示,在初始状态时为00,所以要经过一个脉冲周期进入循环,而在整个工作过程中周期信号是一直和本电路连接的,不会出现循环外的11状态,所以不用担心出现不稳定状态,也就是说从接入电源开始电路就是一直处在循环中的。11100100 图4.2.1状态转换图完成d触发器的组装并对其进行仿真,用led对起进行检测发现用与门进行仿真结果与设计目的不一致,对起进行分析得出出现冒险-竞争现象,即用

8、与非门和反相器进行替换问题得到很好的解决。图4.2.2状态转换实际电路汽车尾灯电路如表4.1所示,74ls138 的三个输入端、分别接 、,而是三进制计数器的输出端。当 =0,使能信号 a = g = 1, 计数器的状态为 00,01,10 时,74ls138 对应的输出端、 依次为 0 有效(、信号为 “1”无效),即反相器 g1-g3 的输出端也依次为 0,故指示灯 d1 d2 d3 按顺序点亮示意汽车右转弯。若上述条件不变,而 =1,则 74ls138 对应的输出端 、依次为0有效,即反相器 g4-g6 的输出端依次为 0,故指示灯d4 d5 d6 按顺序点亮,示意汽车左转弯。当 g =

9、 0,a = 1 时,74ls138 的输出端全为1,g6-g1 的输出端也全为1, 指示灯全灭; 当g = 0,a = cp 时,指示灯随 cp 的频率闪烁。4.3左转右转控制电路:用译码器及逻辑电路来实现。a、b、c是译码器的输入端,c是高位输入,b、a依次,当 c为高电平有效时驱动、(右转);而c为低电平时驱动、(左转)。、是译码器的输出端 图4.3.14.4刹车和正常行驶控制电路:表4.4开关控制逻辑图开关控制cp使能信号gaooo1o1111o1111cpocp对于开关控制电路,设 74ls138 和显示驱动电路的使能端信号分别为 g 和 a,根据总 体逻辑功能表分析及组合得 g、a

10、 与给定条件 (s1、s0、cp) 的真值表,如表4.4所示。由表4.4经过整理得逻辑表达式: 由上式得开关控制电路,如图 4.4 所示。 图4.4开关控制电路5.最后电路原理图总体电路如图5-1所示,将电路接通后,分别根据要求输入符合要求的脉冲,观察到了汽车尾灯的控制现象。电路原理图如下:图5.1结论汽车尾灯控制电路是一种应用极为广泛的设备,具有极好的性价比。在进行设计的过程中,发现整个电路的结构并不是太复杂。整个电路主要由四部分组成:时钟脉冲发生器,触发器,逻辑控制门及发光管驱动电路。其中,时钟脉冲发生器在电路中有极重要的作用,能够通过计算得到需要的脉冲,使其发出不同的频率产生不一样的脉冲

11、。这样才能使电路更好的工作,是控制发光管的一项主要装置之一。而在这之中,如何设置电容和电阻就显得至关重要。其次,准确地设定逻辑控制门也很重要,直接决定了变色发光管能否正常工作以及能否达到设计的要求。再次,对脉冲分配器的选择也起着很大作用。在设计的过程中应该本着元件通用化,成品化,以满足大规模生产的要求,以便在日后产品的更新维护能够更好的方便的进行。同时也要尽量减少设计过程中竞争冒险现象出先的概率。使产品在使用过程中能够稳定的运行,达到良好的无故障率。在焊接的过程中要保证焊接坚固,防止在实验的过程中出现短路或开路状态,来减少实验中的不稳定现象的出现。我明白了在进行设计是要尽量的避免使用与门,而多

12、用与非门和非门来代替与门。这样能尽量的减少电路中出现冒险竞争现象,是设计出来的电路能够更加稳定的运行。课程设计体会一周的课程设计很快就结束了,总的说来收获不小,不能说设计的过程中是一帆风顺的,开始时是设计阶段也没太在意,后来到动手的时候觉得遇见了好多没想到的问题,平时在书本上划的很熟练的一些电路,当拿到multisim7上进行仿真时就回错误百出。开始时让我极为的伤头,在课程设计的第二天我专门的对multisim7软件进行了操练,当我熟悉了之后用起来就比较得心应手了。也发现用计算机软件进行设计的好处,使产品的设计成本大大的降低。我想这也是最吸引我们的地方,当真正的进行产品大规模生产时利润是相当大

13、的。当我投入设计时才发现乐在其中,这次实验是我们对书本中学到的各个部分原理的一次综合的运用,在书本中我们看那些原理有老师的讲解我们理解起来并不一定十分的困难,但是当我们将那些知识综合运用的电路的设计中确实有了很多意想不到的困难。实践出真知,通过这次电路的设计让我学到了书本中没有的很多东西,我想最主要的就是一种综合能力的提升。我认为这样的电路设计很有现实意义,这样的教学方法对于提升同学们的综合运用能力也是行之有效的,是非常值得推广的。如果在讲课过程中能够运用部分内容穿插一些小设计,或者让同学们自己回去设计一些小电路,并且通过老师的指导演示出来,我想不仅能调动同学的学习积极性,而且还能培养出同学们

14、的创新设计能力。比如这次设计,如果能够让同学们能够演示出来就更好了。如果老师讲课时能够将各种难题能够用软件模拟可以让我们更好的理解。在最初的设计过程中,我们一共整理出3个方案。但在实践的过程中发现,在multisim中模拟的情况和实际实践中还是有些差异的。multisim中的bug也给我们带来了很多不便,希望该软件在后续版本中能够得到改进。在调试过程中,脆弱的74ls32或门的罢工使我们当时近乎绝望,我们一共需要7个或门。烧了两个或门使得试验无法再进行下去。还好,在74ls00和74ls04上还有几个空余的与非门和非门。我们用两个非门和一个与非代替了原来的或门的功能。由于条件所限,我这个还只是

15、假设,并不知道是否能在实际应用中实现。课程设计是一个增长知识的课堂,在此过程当中不断的认识自己,了解自己,提高自己,无论是在学习和生活中都要有所收获,真正的做到整个实验过场对自己整个人生都有所影响,有所回报,这样才能使整个过程更加的有意义,也使自己的人生更加的充实。在此实验当中,在克服重重困难的同时也使我看到了电子学习的乐趣,为以后的学习工作打下了坚实的基础,为以后的工作与学习赢得了必要的信心与决心。 总的说来,这次课程设计还是比较顺利的。只是在测试阶段遇到一点问题,其他阶段还是完全符合预定计划的,并没有因为什么问题而耽误实验进程。这次课程设计,虽然短暂。但却是我们第一次的自主合作的设计电路。

16、以前书本上的内容第一次完完全全的在实际中实现。在设计过程中,遇到了书本中不曾学到的情况。同时,由于是两人合作制作,是我们学到在将来大规模电路设计中,团体协作是多么的重要。最后,感谢老师为我们提供这次的实习机会和悉心的指导。 参考文献 1康华光主编,电子技术基础(数字部分)m,第3版,北京,高等教育出版社,1988年.2标准集成电路数据手册ttl电路m,电子工业出版社.3李士雄、丁康源主编,数字集成电子技术教程m,北京,高等教育出版社,1993年.4蔡惟铮主编,数字电子线路基础m,哈尔滨,哈尔滨工业大学出版社,1988年.5张建华主编,数字电子技术m,北京,机械工业出版社,1994年.6阎石主编

17、,数字电子电路m,北京,中央广播电视大学出版社,1993年.第1章 绪论1.1 课题背景随着科技时代的进一步发展,人们的生活也在飞速改变,各种家用电器和设备正以极快的速度进入寻常百姓家。汽车作为一种很重要的生活工具也没有例外地深入到人们生活的方方面面。人们正以极大的热情努力的工作并享受由此而带来的种种便利,汽车作为高消费的生活和生产资料,在满足了人们的出行方便的同时,人们更加注重汽车本身的舒适性、可控性、便捷性和安全性。而作为汽车行车很重要的一部分车灯控制方式很是重要。而作为汽车控制的各种操作,几乎都反映在灯的明亮和熄灭上,也是可以起到警告的作用。而作为尾灯,它有着很大的作用,无论是行车之中还

18、是车辆在驻留的时候,都是必须有各种不同的反映的,于是,汽车尾灯的设计是很重要的。而基于传统的机械和纯电路的控制方式,由于它完全取决于尾灯系统所采用的硬件来保证它的正常工作,而一旦电路老化或者因为机械振动而引起的接触问题以及机械元件变形而不能及时触发电路电源开关,这类问题是经常发生,而除了选用更好的硬件系统元件几乎没有别的可靠的方法来进行避免这类故障的发生,于是,选用智能型的元件来进行系统的设计,增加系统的稳定性和可控制性是非常必须的。而随着eda技术的日益成熟,有电路自动控制车尾灯的方式是可行的。基于eda系统的电路控制方式是可靠的和可以预测的控制方式,其安全性很高,属于智能控制的范畴。于是,

19、在汽车上实行这种车灯控制方式是一种变化和进步,增加了汽车行车安全性,降低了汽车的故障率。1.2 研究的目的及意义目的是一方面使我们能够进一步理解课程内容,基本掌握eda技术和系统知识,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。另一方面也可以是我们更好地巩固和加深对基础知识的理解,学会设计中小型数字系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。通过实践引导我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。通过设计,一方面可以加深我们对理论知识的理解,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个实践的阶段

20、。基于本课题的研究具有极大的实用性和可操作性,在实际的应用中有广泛的意义,用于汽车车灯控制系统的电路,可以极大地提高汽车的安全性和驾驶的舒适性,降低了车的故障率和危险发生的概率。具有实际的应用价值,故研究本课题。1.3 eda的发展历程及应用1.3.1 eda的发展历程随着集成电路和计算机技术的飞速发展,eda(electronic design automation)应运而生,它是一种高级、快速、有效的电子设计自动化技术。eda技术以计算机为工具,代替人完成数字系统的逻辑综合、布局布线和设计仿真等工作。设计者只需要完成对系统功能的描述,然后就可以由计算机来处理这些描述,得到设计结果,修改设计

21、也很方便。利用eda工具进行设计,可以极大的提高设计效率。eda技术的发展经历了一个由浅到深的过程。20世纪70年代,随着中小规模集成电路的开发与应用,传统的手工制图设计电路板和集成电路的方法已经无法满足设计精度和效率的要求,因此人们开始进行二维平面图形的计算机辅助设计,以便解脱繁杂、机械的版图设计工作,这就是第一代的eda工具。到了20世纪80 年代,为了适应电子产品在规模和制作上的要求,出现了以计算机仿真和自动布线为核心技术的第二代eda 技术,其特点是以软件工具为核心,通过这些软件完成产品开发的设计、分析、仿真、测试等各项工作。20世纪90 年代后,随着eda技术继续发展,出现了以高级语

22、言描述、系统级仿真和综合技术为特征的第三代eda技术,通常也称为esda(electronic system design automation)阶段。在这个阶段,人们开始追求贯彻整个设计过程的自动化,可以从繁重的设计工作中彻底解放出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。1.3.2 eda技术的概念eda是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机

23、为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动的完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.3.3 eda的应用硬件语言编译工具逻辑综合工具功能分析工具布局布线工具版图生成工具形式验证工具半导体厂icasic设计用户需求功能定义逻辑综合工具功能分析工具布局布线工具时序分析工具编程下载工具可编程pldsoc设计原理图编辑工具网表生成工具布局布线工具规则检查工具电子兼容分析工具热分析工具制板商pcbpcb设计图1.1 eda技术应用范围eda技术应用范围见图1.1。当代的eda技术应用于

24、电子设计的方方面面。从一个角度来看,eda技术可粗略分为系统级、电路级和物理实现级三个层次的辅助设计过程;从另一个角度来看,eda技术应包括电子设计的各个领域:从低频电路到高频电路、从线形电路到非线形电路、从模拟电路到数字电路、从分立元件电路到集成电路的全部设计过程。具体来说,eda技术大体分为三方面的应用:(1)asic(application specific integrated circuit,专用集成电路)设计。(2)soc(system on a chip,系统芯片)设计。(3)pcb(printed circuit board,印刷电路板)设计。可以说如果没有eda技术,今天的电

25、子设计就没办法进行。1.3.4 verilog硬件描述语言随着eda技术的飞速发展,也由于集成电路大规模、高密度、高速度的要求,产生了一种新的设计方法,那就是采用硬件描述语言来设计数字电路。verilog hdl(verilog hardware description language)作为ieee工业标准的硬件描述语言的一种,不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义,而且verilog语言从c语言中继承了多种操作符和结构。所以如果有c语言的基础,那么可以非常快的掌握verilog hdl的设计方法。因此对于初学者来说,使用verilog hdl进行电子设计是比较好的。

26、通过使用结构级或行为级描述可以在不同的抽象层次描述设计。verilog hdl采用自顶向下的数字电路设计方法主要包括行为领域、结构领域、物理领域这三个领域和系统级、算法级、积存器传输级、逻辑级和电路级这五个抽象层次。verilog hdl是并发的,即具有在同一时刻执行多任务的能力。一般来讲编程语言是非并行的,但在实际硬件中,许多操作都是在同一时刻发生的,所以verilog hdl具有并发的特征。verilog hdl有时序的概念,一般来讲编程语言是没有时序概念的,但在硬件电路中从输入到输出总是有延迟存在的。为描述这些特征,verilog hdl语言需要建立时序的概念,因此使用verilog h

27、dl除了可以描述硬件电路的功能外,还可以描述其时序要求。1.4 基于eda的fpga/cpld开发随着数字集成电路和eda技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(asic)芯片,而且希望asic的设计周期尽可能短,最好是在实验室里就能设计出合适的asic芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件,其中应用最广泛的属现场可编程门阵列和复杂可编程逻辑器件。现场可编程门阵列fpga(field programmable gate array)是20世纪80年代中期,由美国xilinx公司首先推出的大规模可编程逻辑器

28、件。由于fpga器件采用标准化结构,体积小、集成度高、功耗低、速度快,可无限次反复编程,已成为开发电子产品的首选器件。运算器、乘法器、数字滤波器等具有复杂算法的逻辑单元和信号处理单元的逻辑设计都可选用fpga来实现。xilinx公司和altera公司最新开发的先进ip core(ip核),使fpga在eda和dsp技术领域的应用更加方便。复杂可编程逻辑器件cpld(complex programmable logic device)是20世纪90年代可擦除的可编程逻辑器件epld(erasable programmable logic device)的改进器件。其具有更大的与阵列和或阵列,有大

29、量的宏单元和布线资源。高速的译码器、多位计数器、寄存器、时序状态机、网络适配器、总线控制器等较大规模的逻辑设计可用cpld来实现。fpga/cpld 本身作为一种新器件,可以由用户自行规定器件的逻辑功能,将大量的电路功能集成到一个芯片中,提高了系统的集成度和可靠性。另一方面, fpga/cpld的设计方法又是采用eda 的设计方式,是从手工设计到电子设计自动化的变换桥梁。1.5 本课题研究内容fpga/cpld现在应用的非常广泛,其作为集成电路的一个研究方向,非常重要,而我们在这方面开展的学习并不多。本文作为一个探讨性的论文,为以后进行更深入的研究打下基础,本课题主要研究以下一些内容。首先,学

30、习并基本掌握verilog hdl的设计方法。然后,研究掌握汽车尾灯设计基本工作原理与设计方案。接下来,能够在max+plus ii平台上使用verilog hdl进行简单的电路设计。最后,使用gw48-ck系统进行汽车尾灯电路的fpga验证。第2章 研究内容与设计原理2.1 本课题的研究内容 根据实际情况分析,本课题研究的汽车尾灯控制器满足以下基本要求:(1) 当汽车正常行驶时,汽车所有尾灯均不亮;(2) 当汽车左转弯时, 汽车左侧的尾灯l1亮;(3) 当汽车右转弯时, 汽车右侧的尾灯r1亮;(4) 当汽车刹车时, 汽车所有尾灯都亮;(5) 当汽车在特殊控件控制情况下行驶时,汽车左侧的尾灯l

31、3和右侧的尾灯r3亮。2. 2 设计思路刹车控制信号特殊控件行驶信号根据系统设计要求,系统采用自顶向下的设计方法,顶层设计采用原理图的设计方法如图2.1所示:右转弯控制信号左转弯控制信号主控选择模块右侧灯选择控制模块左侧灯选择控制模块ld2rd2rd3rd1ld3ld1 图2.1 顶层设计原理图的设计方法2.3设计方案应用vhdl进行自顶向下的设计,是采用可完全独立于目标器件芯片物理结构的硬件描述语言。就是使用vhdl模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。其设计流程如图2.2所示:(1)设计说明书(6)逻辑综合(2)建立vhdl行为模块型(7)测试向量生成(3)vhdl行为仿

32、真(8)功能仿真(4)vhdl-rtl级建模(5)前端功能仿真设计完成(11)硬件测试(9)结构综合(10)门级时序仿真 图2.2 应用vhdl进行自顶向下设计流程由于vhdl设计的可移植性、eda平台的通用性以及与具体硬件结构的无关性,使得前期的设计可以容易的应用于新的设计项目,而且项目设计的周期可以显著缩短。且还具有简单易行的特性。本课题关于汽车尾灯控制电路主要由几大部分组成:显示驱动电路、译码电路、计数电路、开关控制电路、二极管模拟控制尾灯电路等。主电路主要是通过开关控制汽车尾灯的点亮方式,主要由74138译码器和74161计数器,以及与非门等器件构成。主电路包括向左转和向右转两大部分电

33、路。由于汽车正常行驶、左转弯、右转弯、刹车和在特别控件控制下行车时,所有灯点亮的次序和是否点亮是不同的,所以可以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个74161计数器,计数器输出为高电平时就点亮不同的尾灯,从而控制尾灯按实际需要的要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表如下表2.1所示。汽车尾灯控制电路设计总体框图如图2.3所示。表2.1 汽车尾灯和汽车运行状态功能表开关控制汽车运行状态右转尾灯左转尾灯s2s1 s0r1r2r3l1l2l30 0 0正常运行灯灭灯灭0 0 1 左转弯灯灭左侧灯l1亮0 1 0右

34、转弯右侧灯r1亮灯灭0 1 1刹车所有尾灯同时亮1 0 0特别控件行车 右侧灯r3和左侧灯l3同时亮开关控制电路译码74138显示驱动电路r1r2r3 l1l2l3计数电路图2.3 汽车尾灯控制电路设计总体框图2.3.1 74ls138介绍74ls138 为3 线8 线译码器,共有 54/74s138和 54/74ls138 两种线路结构型式,其工作原理如下:当一个选通端(g1)为高电平,另两个选通端(/(g2a)和/(g2b))为低电平时,可将地址端(a、b、c)的二进制编码在一个对应的输出端以低电平译出。利用 g1、/(g2a)和/(g2b)可级联扩展成 24 线译码器;若外接一个反相器还

35、可级联扩展成 32 线译码器。若将选通端中的一个作为数据输入端时,74ls138还可作数据分配器。74ls138芯片图形如图2.4所示。图2.4 74 ls138芯片第3章 系统软件设计与仿真本课题汽车尾灯设计实现的方法就是通过开关控制所设计的电路,从而来实现汽车尾灯不同的点亮方式。达到最终的目的。3.1本课题主程序设计3.1.1 功能分析根据状态功能表2.1所介绍。当汽车正常行驶时,汽车尾灯开关控制信号为s2=“0”、s1=“0”、s0=0,汽车所有尾灯灭。当汽车左转弯时,汽车尾灯开关控制信号为s2=“0”、s1=“0”、s0=1,汽车左侧尾灯l1亮。当汽车右转弯时,汽车尾灯开关控制信号为s

36、2=“0”、s1=“1”、s0=0,汽车右侧尾灯r1亮。当汽车刹车时,汽车尾灯开关控制信号为s2=“0”、s1=“1”、s0=1,汽车所有尾灯同时亮。当汽车在特殊控件控制情况下行驶时,汽车尾灯开关控制信号为s2=“1”、s1=“0”、s0=0,汽车左侧尾灯l3和右侧尾灯r3亮。3.1.2 数据入口 s2,s1,s0: 开关控制信号;3.1.3 数据出口 l1: 左侧尾灯l1; l2: 左侧尾灯l2; l3: 左侧尾灯l3; r1: 右侧尾灯r1; r2: 右侧尾灯r2; r3: 右侧尾灯r3;3.14 具体实现程序library ieee;use ieee.std_logic_1164.all

37、;entity cl is port(s2,s1,s0: std_logic_vector(3 downto 0); l1,l2,l3,r1,r2,r3:out std_logic);end entity cl; architecture ctrl of cl is begin process(s2,s1,s0) begin if(s2=0 and s1=0 and s0=0)then l1=0;l2=0;l3=0; r1=0;r2=0;r3=0; elsif(s2=0 and s1=0 and s0=1)then l1=1;l2=0;l3=0; r1=0;r2=0;r3=0; elsif(s

38、2=0 and s1=1 and s0=0)then l1=0;l2=0;l3=0; r1=1;r2=0;r3=0; elsif(s2=0 and s1=1 and s0=1)then l1=1;l2=1;l3=1; r1=1;r2=1;r3=1; elsif(s2=1 and s1=0 and s0=0)then l1=0;l2=0;l3=1; r1=0;r2=0;r3) ,把选中的需要观察的节点送到selected nodes&groups栏目中,如图3.2所示。单击ok按钮,即可看见输入、输出信号出现在波形编辑窗口中。此时波形编辑窗口中,所有编辑的输入节点的波形都默认为逻辑低电平;输出和

39、隐埋节点波形都默认为不定状态。 图3.2 列出并选择需要观察的信号节点 (4)设置波形参量。在为波形窗口的计数器的输入信号设定相关的仿真参数,如图3.4所示,在option菜单中消去网格对齐项snap to grid前面的“,以便能够任意设置输入电平位置,或设置输入时钟信号的周期。 图3.3 在option菜单中取消snap to grid 的选择3.4 系统设计电路仿真与波形分析根据设计要求,设计仿真电路如下:图3.4是汽车左转弯时的控制电路。 图3.4 汽车左转弯时的控制电路汽车左转弯波形仿真结果如图3.5所示:图3.5汽车左转弯波形仿真结果仿真波形分析:如图3.5所示,当汽车尾灯开关控制

40、信号为s2=“0”、s1=“0”、s0=1时,汽车左侧尾灯l1亮。汽车左转弯、右转弯的控制电路如图3.6所示:图3.6汽车左右转弯时的控制电路汽车右转弯仿真波形如图3.7所示: 图3.7 汽车右转弯时的波形仿真右转弯仿真波形分析:如图3.7所示,当汽车尾灯开关控制信号为s2=“0”、s1=“1”、s0=0时,汽车右侧尾灯r1亮。汽车左转弯、右转弯和刹车时的控制电路如图3.8所示:图3.8 汽车左右转弯和刹车时的控制电路汽车刹车时的仿真波形如图3.9所示:图 3.9 汽车刹车时的仿真波形汽车刹车时的仿真波形分析:如图3.9所示,当汽车尾灯开关控制信号为s2=“0”、s1=“1”、s0=1时,汽车

41、所有尾灯同时亮。 汽车左转弯、右转弯、刹车和在特殊控件行驶下的控制电路如图3.10所示: 图3.10汽车左转弯、右转弯、刹车和在特殊控件行驶下的控制电路汽车在特殊控件行驶时的波形仿真如图3.11所示: 图3.11 汽车在特殊控件行驶时的波形仿真汽车在特殊控件行驶时的波形仿真分析:如图3.11所示,当汽车尾灯开关控制信号为s2=“1”、s1=“0”、s0=0时,汽车左侧尾灯l3和右侧尾灯r3亮。 为了精确测量计数器输入输出之间的延时,可以打开时序分析器。选择max+plustiming analyzer项,弹出timing analyzer,如图3.12所示。单击timing analyze窗口

42、中的start按钮,延时信息即可显示在图表delay matrix中。其中左排的排列是输入信号,上排列出输出信号,中间是对应的延时量,这个延时量是针对所选定的目标器件的。 图3.12 延时时序分析窗第4章 汽车尾灯电路的fpga实现4.1 gw48型eda实验系统的介绍gw48型eda实验开发系统广泛应用于数字电路课程设计的硬件验证。gw48型eda实验开发系统有以下特点:(1)该eda实验开发系统设有通用在系统编程下载asic器件,可对lattice、xilinx、altera、vantis、atmel和cypress世界六大pld商和各种isp编程下载方式或现场配置的fpga/cpld各系

43、列器件进行识别、实验或开发;主系统板与目标芯片板采用接插式结构,动态电路结构自动切换工作方式,可自动切换12种实验电路结构模式。图4.1 gw48-ck型eda实验开发系统实物图(2)gw48系列具备对不同芯核电压(5v、3.3v、1.8v、1.5v)的fpga/cpld器件进行实验、开发和编程下载。(3)该实验开发系统除具有丰富的实验资源外,还扩展了a/d、d/a、vga视频、ps/2接口、rs232通信、单片机独立用户系统编程下载接口、50mhz高频时钟源以及数字频率计。在上面可完成大量基于fpga/cpld的各类电子设计和数字系统设计实验与开发项目,其中包括如移位寄存器、加法器、减法器、

44、乘法器、除法器、序列检测器、脉宽调制器、数控分频器、数字频率合成器、数字滤波器、硬件乐曲演奏器、电子琴等数字系统设计项目。图4.1为gw48-ck型eda实验开发系统实物图。图中“1”为电路结构选择按钮,可以选择不同的电路结构进行实验。这种“电路重构软配置”设计方案的好处有很多,比如可以适应更多的实验与开发项目、适应更多的pld公司的器件、适应更多的不同封装的fpga和cpld器件等。“2”为并口下载口,使用byteblaster下载电缆,一般flex、max等器件进行在系统编程时常用这个连接。“3”为电源接口,提供使该系统能正常工作所需要的电能。“4”为发光二极管和数码管,用来显示实验结果。

45、“5”为实验信号控制键,在不同的电路结构模式下与主系统的连接方式不同,可产生单脉冲、高低电平、16进制信号、琴键式信号等。“6”时钟频率选择模块,通过短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号。“7”为目标芯片。本系统板上使用的是flex10k系列的epf10k10lc84芯片,结构如本章最后的图4.7所示。flex是flexible logic element matrix的英文缩写,全称是“灵活的逻辑单元矩阵”。包括flex10k、flex10ka、flex10kb、flex10ke、flex8000、flex6000系列器件,它们都采用同样的内部设计结构。4.2电路的布局布线打开max+plus ii ,进入汽车尾灯电路设计工程,选中菜单栏的“assign”中的“device”进行目标芯片的选择。如图4.2所示。 图4.2 选择目标芯片然后选中菜单栏的“assign”中的“pin/location/chip”进行目标芯片引脚的配置。如图4.3所示。 图4.3 目标芯片引脚的配置4.3电路的下载与测试当所有前期工作都做好后,就可以向芯片中下载程序并进行硬件实际校验了。使用max+plus ii的“compiler”模块对汽车尾灯电路的verilog程序进行编译。编译完成后就可以进入下载阶段了。选择“programmer” 模块,然后选中菜单栏的“opt

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论