EDA技术与应用讲义第0章概述_第1页
EDA技术与应用讲义第0章概述_第2页
EDA技术与应用讲义第0章概述_第3页
EDA技术与应用讲义第0章概述_第4页
EDA技术与应用讲义第0章概述_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第第0章章 概概 论论eda技术技术与应用与应用 课程讲义课程讲义合肥工业大学 彭良清本章内容1.eda技术的发展历史2.eda技术实现目标3.硬件描述语言vhdl概述4.eda技术与传统电子设计方法的比较5.eda技术的发展趋势培根说:histories make men wise让我们先看看eda的历史数字集成电路的发展v晶体管(1955 william shockley)v小中规模集成电路(1960年代)v超大规模集成电路vlsic(1970年代)v专用集成电路 asic (1980年代 )vpld(1970年代 )vfpga(xilinx公司,1984年) v好了,我们知道了“米”由来

2、v 现在我们来学习“煮饭”的方法什么是什么是edav电子设计自动化(electronic design automation)指设计者利用计算机及相关应用软件完成电子系统设计任务。eda的三个阶段的三个阶段1.cad computer assist design 70年代2.cae computer assist engineering design 80年代3.esda electronic system design automation 90年代cad阶段阶段v1:选用ssimsi如各种逻辑门触发器寄存器编码译码器。v2:用tango (protel)等软件布线,焊接在pcb(print

3、ed circuit board)上调试。现在还存在吗?cae阶段(阶段(19801990)v集成电路设计各个阶段的集成工具的产生(原理图输入、编译和连接、逻辑模拟、测试码生成、版图自动布局、单元库的建立)v实现从设计输入到版图输出的全程自动化vasic芯片应用日益广泛cae阶段的2大特点1.asic 大行其道大行其道2.实现了实现了 设计的自动化设计的自动化v但设计设计 仍仍 采用采用 图形方式图形方式esda阶段阶段v使用hdl语言进行设计v高层综合(行为级)取得进展v物理设计和逻辑设计的融合,在设计初期就考虑芯片物理结构的影响v可测试性设计广泛使用(jtag)vip核大量使用eda阶段的

4、结果vfpga/cpld器件取代部分asic器件应用v系统设计者或者线路板设计者成为芯片设计者本课程的就是:?v学习 如何使用 可编程逻辑器件 进行 电子系统设计 的方法v一切都是命运v一切都是烟云v一切都是没有结局的开始 北岛eda技术已经开始,那么她的结局是?她的结局是?eda技术的终极目标是:?v完成asic (专用集成电路)的设计和实现v板级或者系统级的 应用系统设计者 也能 设计设计 ic (芯片芯片)_,你、我 了不起吧?!如何实现?v3个途径fpga/cpld可编程asic半定制全定制asiceda技术asic技术数字asic混合asicpcb设计途径一:使用可编程逻辑器件v使用

5、fpga/cpldv特点:灵活性通用性好上市周期块对于小批量产品成本低途径二:半定制或者全定制asicv分类门阵列asic标准单元asic全定制asicv特点价格低性能好具有知识产权,保密性好途径三:混合asic设计v是前2种方法的混合体 不是 模拟和数字的混合体v既具有fpga可编程逻辑资源,v也含有可调用的硬件标准单元模块(cpu,ram,rom,硬件加法器,乘法器 锁相环)v例如:altera公司的virtex-4系列, stratixii系列硬件描述语言:起源v是电子电路的文本描述。v最早的发明者:美国国防部,美国国防部,vhdl,1983v大浪淘沙,为大者二:vhdl 和 veril

6、og hdlv其他的小兄弟:abel、ahdl、system verilog、system c。一个d触发器的vhdl代码例子1.- vhdl code position: p83_ex4_11_dff12.-3.- libary ieee;4.- use ieee.std_logic_1164.all;5.entity dff1 is 6.port (clk:inbit;7.d:inbit;8.q:outbit9.);10.end entity dff1;11.architecture bhv of dff1 is12.begin13.process(clk)14.begin15.if cl

7、kevent and (clk=1) and ( clklast_value = 0) then16.- 严格的clk信号上升沿定义17.q 与传统电子设计方法的比较v传统的设计过程:1.模块划分2.画出逻辑的真值表3.用卡诺图简化逻辑4.写出布尔表达式5.画出逻辑线路图这些都是人工的传统设计是一种“搭积木”设计v由标准器件(如74/54系列)构建电路板v由电路板搭成电子系统v主要的工作量在于系统设计(板互连)pcb板设计传统设计方法的问题v复杂电路的设计、调试很困难v修改不变v可移值性差v模块重用困难v设计文档很多,不易管理v只能在成品制造后测试v对设计者的经验要求很高eda设计是 一种基于

8、“芯片”的设计v利用eda工具,采用可编程逻辑器件 来设计电子系统v减小了pcb板设计和系统设计的工作量。v还有fpgau1u3u2u4eda技术的优势v可随时验证设计v模块可重用v设计文档易于管理(vhdl代码文本)v具有知识产权v适合高速电路v具有高可靠性v对设计者的要求降低。eda的结局?一切的开始 都已经有了结局eda的结局是?eda技术的发展趋势vsoc(system on chip)片上系统 方兴未艾vfpga/cpld和asic二大阵营的技术和市场融合加强fpga/cpld进入传统asic的应用场合,而asic期间预留fpga空间,使得产品的修改和升级更加容易。vcpu,dsp开

9、始集成到fpga中。v更多更多的eda技术的发展趋势?请回去 自己 查阅资料the end.fpga 与 cpld可编程逻辑器件(芯片)的发展阶段1.pal: programmable array logic2.gal: generic array logic3.cpld: complex programmable logic device4.fpga: field programmable gate arrayv返回如何提高v方法有2个理论学习v基本数字单元电路的实现vpld器件的工作原理的深入理解比如 全局时钟,i/o接口 pllv复杂时序电路的设计状态机编程vvhdl代码和物理电路的对应

10、v如何提高性能:同步电路设计,高速电路设计,时间和面积优化v器件的选择项目训练v完成一个实际的应用项目本质?工具veda实际上是一种计算机软件如何掌握eda技术?v甲:学习一种计算机软件的使用v已:学习某个专门领域的设计知识,比如机械设计理论,电路基础,建筑设计理论那一个正确?电子工程领域的edaveda:电子设计自动化1.electronic design automation2.eda技术就是:依赖依赖 功能强大的计算机, 在 eda工具软件工具软件 平台上, 用 硬件描述语言(hdl)作为 系统逻辑描述手段 来完成 电子线路设计文件 最终 实现 特定电子线路的硬件(芯片或者电路板)eda

11、技术的历史v70年代计算机辅助设计(cad)阶段,人们开始用计算机取代手工操作进行ic版图编辑、pcb布局布线v80年代计算机辅助工程(cae)阶段。与cad相比,cae除了有纯粹的图形绘制功能外,又增加了电路功能分析和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计v90年代电子系统设计自动化(eda)阶段,同时又出现了计算机辅助工艺(capp)、计算机辅助制造(cam)等相关名词v器件:pal,gal,cpld,fpgagal16v8, max7128,ep1c3,v硬件语言:vhdl,verilog hdlv公司:altera, xilinx,latticev设计软件平台

12、:max+plus ii,quartus ii; ise, 详细含义后面具体介绍让我们来增加一点感观认识v这是一片altera公司的pld芯片,共有400个引脚,bga封装。我们设计的一款产品v3d显示器的视频信号处理电路pld器件到底能干什么?v通信领域v视频信号处理vasic的替代vcpu设计的前期仿真教材与参考书:基础篇1.eda技术实用教程(第二版)(教材)潘松 黄继业 科学出版社 2005-22.vhdl硬件描述语言与数字逻设计侯伯亨 西安电子科技大学出版社 2001-04 3.fpga设计及应用褚振勇 翁木云 西安电子科技大学出版社 2002-7 教材与参考书:提高和应用篇1.fp

13、ga数字电子系统设计与开发实例导航: 求是科技 人民邮电出版社 2005-6 2.基于cpld/fpga数字通信系统建模与设计 段吉海 黄智伟 电子工业出版社 2004-8 3.挑战soc基于nios的sopc设计与实践彭澄廉 周博等 清华大学出版社 2004-7 eda学习网站和论坛(一)v eda 门户网站 二巨头www.pld 一个国内最好的eda门户网站,包括altera公司、xilinx公司等分类论坛,也有大量技术文章,人气极旺的论坛。http:/ 人气可和前者比肩,该网站还有一个“先锋工作室先锋工作室”,出版了很多eda专业书籍eda学习网站和论坛(二)v altera和xilin

14、x公司的官方网站http:/ http:/www.xilinx-有关软件使用,芯片手册,应用笔记,设计实例等应有尽有。我如何教你?v假如学生要得到一瓢水教师必须有一桶水v假如教师只有一桶水我们要指引给学生了一条河,教会你游泳的技巧,而不是喝水的本领cadvcad在早期是英文 computer aided drafting (计算机辅助绘图) 的缩写,随着计算机软、硬件技术的发展, 演变为 computer aided design (计算机辅助设计)cappv计算机辅助工艺设计(computer aided process planning)的简称v概念:利用计算机技术,辅助工艺人员设计零件

15、从毛坯从毛坯到成品到成品 的制造方法。v是将企业产品设计数据 转换为产品制造数据 的一种技术。v是计算机集成制造系统(cims)的重要组成部分。ssi & msivssi: small-scale integration vmsi: medium-scale integration v返回abel 、 cupl 、 palasm2 、fmabel 一种硬件描述语言,lattice 公司的软件isp expert system 7.0支持该语言cuplpalasm2fmada语言v 来源:来源:ada语言是 美国国防部指定的 唯一种可用于 军用系统开发的语言 v特点:特点:一种面向对象的

16、计算机语言。v取名:取名:英国著名诗人拜伦的女儿ada lovelace曾设计了巴贝奇分析机上解伯努利方程的一个程序。她甚至还建立了循环和子程序的概念。由于她在程序设计上的开创性工作,ada lovelace被称为世界上第一位程序员,故名ada。v返回 jean ichbiah, ada之父 ,1979 开发完成ada计算机语言。compiler netlist extractor(编译器网表提取器)(编译器网表提取器)vthe compiler module that converts each design file in a project (or each cell of an edi

17、f input file) into a separate binary cnf. the filename(s) of the cnf(s) are based on the project name. examplevthe compiler netlist extractor also creates a single hif that documents the hierarchical connections between design files.vthis module contains a built-in edif netlist reader, verilog netli

18、st reader, vhdl netlist reader, and converters that translate adfs and smfs for use with max+plus ii. vduring netlist extraction, this module checks each design file for problems such as duplicate node names, missing inputs and outputs, and outputs that are tied together.v返回database builder(数据库构建器 )

19、:vthe compiler module that builds a single, fully flattened project database that integrates all the design files in a project hierarchy. vthe database builder uses the hif to link the cnfs that describe the project. based on the hif data, the database builder copies each cnf into the project databa

20、se. each cnf is inserted into the database as many times as it is used within the original hierarchical project. the database thus preserves the electrical connectivity of the project.vthe compiler uses this database for the remainder of project processing. each subsequent compiler module updates th

21、e database until it contains the fully optimized project. in the beginning, the database contains only the original netlists; at the end, it contains a fully minimized, fitted project, which the assembler uses to create one or more files for device programming. vas it creates the database, the datab

22、ase builder examines the logical completeness and consistency of the project, and checks for boundary connectivity and syntactical errors (e.g., a node without a source or destination). most errors are detected and can be easily corrected at this stage of project processing.v返回logic synthesizervthe

23、compiler module that synthesizes the logic in a projects design files. vusing the database created by the database builder, the logic synthesizer calculates boolean equations for each input to a primitive and minimizes the logic according to your specifications. vfor projects that use jk or sr flipf

24、lops, the logic synthesizer checks each case to determine whether a d or t flipflop will implement the project more efficiently. d or t flipflops are substituted where appropriate, and the resulting equations are minimized accordingly.vthe logic synthesizer also synthesizes equations for flipflops t

25、o implement state registers of state machines. an equation for each state bit is optimally implemented with either a d or t flipflop. if no state bit assignments have been made, or if an incomplete set of state bit assignments has been created, the logic synthesizer automatically creates a set of st

26、ate bits to encode the state machine. these encodings are chosen to minimize the resources used.v返回fitter(适配器)vthe compiler module that fits the logic of a project into one or more devices. vusing the database updated by the partitioner, the fitter matches the logic requirements of the project with

27、the available resources of one or more devices. it assigns each logic function to the best logic cell location and selects appropriate interconnection paths and pin assignments.vthe fitter attempts to match any resource assignments made for the project with the resources on the device. if it cannot

28、find a fit, the fitter allows you to override some or all of your assignments or terminate compilation.vthe fitter module generates a fit file that documents pin, buried logic cell, chip, clique, and device assignments made by the fitter module in the last successful compilation. each time the proje

29、ct compiles successfully, the fit file is overwritten. you can back-annotate the assignments in the file to preserve them in future compilations. v返回timing snf extractor(时序snf文件提取器)vthe compiler module that creates a timing snf containing the logic and timing information required for timing simulati

30、on, delay prediction, and timing analysis.vthe timing snf extractor is turned on with the timing snf extractor command (processing menu). it is also turned on automatically when you turn on the edif netlist writer, verilog netlist writer, or vhdl netlist writer command (interfaces menu). the timing

31、snf extractor cannot be turned on at the same time as the functional snf extractor or the linked snf extractor.va timing snf describes the fully optimized circuit after all logic synthesis and fitting have been completed. regardless of whether a project is partitioned into multiple devices, the timi

32、ng snf describes a project as a whole. therefore, timing simulation and timing analysis (including delay prediction) are available only for the project as a whole. neither timing simulation nor functional testing is available for individual devices in a multi-device project. functional testing is av

33、ailable only for a single-device project.v返回assembler(汇编器)vthe compiler module that creates one or more programming files for programming or configuring the device(s) for a project. vthe assembler module completes project processing by converting the fitters device, logic cell, and pin assignments i

34、nto a programming image for the device(s), in the form of one or more pofs, sofs, hex files, ttfs, jam files, jbc files, and/or jedec files. pofs and jedec files are always generated; sofs, hex files, and ttfs are always generated if the project uses acex 1k, flex 6000, flex 8000 or flex 10k devices; and jam files and jbc files are always generated for ma

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论