杭电计组实验5-存储器设计实验_第1页
杭电计组实验5-存储器设计实验_第2页
杭电计组实验5-存储器设计实验_第3页
杭电计组实验5-存储器设计实验_第4页
杭电计组实验5-存储器设计实验_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、精选优质文档-倾情为你奉上实验报告 2018 年 5 月 5 日 成绩: 姓名阳光男学号班级专业计算机科学与技术课程名称计算机组成原理与系统结构试验任课老师张翔老师指导老师张翔老师机位号默认实验序号5实验名称实验五 存储器设计实验实验时间2018/5/12实验地点1教211实验设备号个人电脑、Nexys3开发板 一、实验程序源代码存储器顶层电路代码:module top_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED);input 7:2Mem_Addr;/开关的3、4、5、6、7、8位input Mem_Write,Clk;/clk为按键C9,读写使能信号为按键C4,0

2、为读,1为写input 1:0C;/选择写入数据+读操作时选择显示字节,为开关1、2位output reg 7:0LED;wire 31:0M_R_Data;/存在存储器里的32位读出数据reg 31:0M_W_Data;/寄存在暂存器的32位写入数据RAM_B test_ram ( .clka(Clk), /输入时钟信号 .wea(Mem_Write), /输入读写信号 .addra(Mem_Addr7:2), /输入5位地址信号 .dina(M_W_Data), /写入32位数据 .douta(M_R_Data) /读出32位数据);always(*)begin LED=0;/初始化 M_

3、W_Data=0;/初始化 if(!Mem_Write)/读操作 begin case(C) 2'b00:LED=M_R_Data7:0;/读出数据的0-7位 2'b01:LED=M_R_Data15:8;/读出数据的8-15位 2'b10:LED=M_R_Data23:16;/读出数据的16-23位 2'b11:LED=M_R_Data31:24;/读出数据的24-31位 endcase end else begin case(C) 2'b00:M_W_Data=32'h0055_7523;/写入第一个数据2'b01:M_W_Data=

4、32'h1234_5678;/写入第二个数据2'b10:M_W_Data=32'h8765_4321;/写入第三个数据2'b11:M_W_Data=32'hffff_ffff;/写入第四个数据endcase endendendmodule测试代码:module test;/ Inputsreg clka;reg 0:0 wea;reg 5:0 addra;reg 31:0 dina;/ Outputswire 31:0 douta;/ Instantiate the Unit Under Test (UUT)RAM_B uut (.clka(clka),

5、 .wea(wea), .addra(addra), .dina(dina), .douta(douta);initial begin/ Initialize Inputsclka = 0;wea = 0;addra = 0;dina = 0;/ Wait 100 ns for global reset to finish#100; / Add stimulus hereclka = 1;wea = 0;addra = 6'b;dina = 32'h0000_0003;#100;clka = 0;wea = 0;addra = 6'b;dina = 32'h00

6、00_0607;#100;clka = 1;wea = 1;addra = 6'b;dina = 32'hFFFF_FFFF;#100;clka = 0;wea = 1;addra = 6'b;dina = 32'hFFFF_FFFF;end endmodule二、仿真波形三、电路图 顶层电路模块 顶层电路内部结构:四、引脚配置(约束文件)NET "Clk" LOC = C9;NET "LED4" LOC = M11;NET "LED3" LOC = V15;NET "LED2" L

7、OC = U15;NET "LED1" LOC = V16;NET "LED0" LOC = U16;NET "Mem_Addr7" LOC = U8;NET "Mem_Addr6" LOC = N8;NET "Mem_Addr5" LOC = M8;NET "Mem_Addr4" LOC = V9;NET "Mem_Addr2" LOC = T10;NET "Mem_Write" LOC = C4;NET "Mem_Addr

8、3" LOC = T9;NET "C1" LOC = T5;NET "C0" LOC = V8;NET "LED7" LOC = T11;NET "LED5" LOC = N11;NET "LED6" LOC = R11;五、思考与探索(1)读操作实验结果记录表存储器地址 初始化数据 读出数据 写入新数据 读出数据5b 32b 32b 32'h0055_7523 32'h0055_75235b 32b 32b 32'h0055_7523 32'h0055_7523 5b 32b 32b 32'h0055_7523 32'h0055_7523 5b 32b 32b 32'h0055_7523 32'h0055_7523 5b 32b 32b 32'h0055_7523 32'h0055_7523 5b 32b 32b 32'h0055_7523 32'h0055_752

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论