封装专用英语词汇概要_第1页
封装专用英语词汇概要_第2页
封装专用英语词汇概要_第3页
封装专用英语词汇概要_第4页
封装专用英语词汇概要_第5页
已阅读5页,还剩28页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、常见封装形式简介DIP = Dual Inline Package =双列直插封装HDIP = Dual Inline Package with Heat Sink=带散热片的双列直插封装SDIP = Shrink Dual Inline Package = 紧缩型双列直插封装SIP =Single Inline Package = 单列直插封装HSIP =Single Inline Package with Heat Sink = 带散热片的单列直插封装SOP = Small Outline Package =小外形封装HSOP= Small Outline Package with Hea

2、t Sink =带散热片的小外形封装eSOP =Small Outline Package with exposed thermal pad= 载体夕卜露于塑封体的小外形封装SSOP= Shrink Small Outline Package =紧缩型小外形封装TSSOP= Thin Shrink Small Outline Package =薄体紧缩型小外形封装TQPF =Thin Profile Quad Flat Package =薄型四边引脚扁平封装PQFP =Plastic Quad Flat Package =方形扁平封装LQPF = Low Profile Quad Packag

3、e =薄型方形扁平封装 eLQPF= Low Profile Quad Flat Package with exposed thermal pad = 载体外露于塑封体的薄型方形扁平封装DFN = Dual Flat Non-leaded Package= 双面无引脚扁平封装QFN = Quad Flat Non-leaded Package= 双面无引脚扁平封装TO = Transistor package = 晶体管封装SOT =Small Outline of Transistor= 小外形晶体管BGA = Ball Grid Array = 球栅阵列封装BQFP = Quad Flat

4、 Package With Bumper =带缓冲垫的四边引脚扁平封装CAD = Computer Aided Design =计算机辅助设计CBGA = Ceramic Ball Grid Array =陶瓷焊球阵列CCGA = Ceramic Column Grid Array =陶瓷焊柱阵列CSP = Chip Size Package =芯片尺寸封装DFP = Dual Flat Package =双侧引脚扁平封装DSO =Dual Small Outline=双侧引脚小外形封装3D =Three-Dimensional=三维2D =Two-Dimensional=二维FCB =Fli

5、p Chip Bonding=倒装焊IC =Integrated Circuit= 集成电路I/O = Input/Output =输入/输出LSI = Large Scale Integrated Circuit = 大规模集成电路MBGA = Metal BGA =金属基板 BGAMCM = Multichip Module = 多芯片组件MCP = Multichip Package = 多芯片封装MEMS = Microelectro Mechanical System = 微电子机械系统MFP = Mini Flat Package = 微型扁平封装MSI = Medium Scal

6、e Integration OLB = Outer Lead Bonding = PBGA = Plastic BGA =中规模集成电路外引脚焊接塑封BGAPC = Personal Computer = 个人计算机PGA = Pin Grid Array = 针栅阵列SIP =SOIC =SOJ =SOP =SOP =WB = WLP =System In a Package = 系统级封装Small Outline Integrated CircuitSmall Outline J-Lead PackageSmall Outline Package=System On a Package=

7、Wire Bonding=Wafer Level Package =小外形封装集成电路= 小外形J形引脚封装小外形封装系统级封装引线健合晶圆片级封装常用文件、表单、报表中英文名称消除通知单工程变更申请持续改善计划戴尔专案收据数据表核对表文件清单设备清单调查表,问卷报名表追踪记录表日报表周报表月报表年报表年度报表财务报表品质报表生产报表不良分析报表首件检查报告初步报告(或预备报告)一份更新报告一份总结报告纠正与改善措施报告(异常报告单)出货检验报告符合性报告(材质一致性证明)稽核报告品质稽核报告Purge noticeECR(Engineering Change Request) CIP(con

8、tinuous improvement plan) Dell Projec tReceiptData sheetCheck listDocumentation checklistEquipment checklist Questionnaire Entry formTracking logDaily reportWeekly reportMonthly reportYearly reportAnnual reportFinancial reportQuality reportProduction reportFAR(Failure analysis report)First article i

9、nspection reportPreliminary reportAn undated reportA final reportCAR (Corrective Action Report)Outgoing Inspection ReportCOC(Certificate of Compliance) Audit reportQuality audit report制程稽核报告5S稽核报告客户稽核报告供应商稽核报告年度稽核报告内部稽核报告外部稽核报告SPC报表(统计制程管制)工序能力指数(Cpk)(规格)上限(规格)下限规格上限规格下限上控制限(或管制上限)下控制限(或管制下限)最大值平均值最

10、小值临界值MRB单(生产异常通知报告)工艺流程图物料清单(产品结构表/用料结构表)合格供应商名录异常报告单工程规范报告通知单(工程变更通知)TECN自主点检表随件单(流程卡)压焊图晶圆管制卡晶圆进料品质异常反馈单Quality Problems订购单出货通知单送货单/交货单询价单可靠性实验报告广品报废单特采控制表返工单异常处理行动措施Process audit report5S audit reportCustomer audit reportSupplier audit reportAnnual audit reportInternal audit reportExternal audit

11、reportStatistical process controlProcess capability indexUpper limitLower limitUpper Specification Limit(USL)Lower Specification Limit(LSL)Upper Control Limit(UCL)Lower Control Limit(LCL)Maximum valueAverage value Minimum value Threshold value / critical valueMaterial Review Board ReportProcess Flow

12、 DiagramBOM (Bill of Materials ) AVL (Approved Vendor List) CARECNSelf Check ListTraveling Card (Run Card)Bonding diagramWafer inspection cardFeedback Report for Wafer IncomingPO(Purchase Order)Advanced Ship NoticeDO(Delivery Order)RFQ(Request for quotation)Reliability Monitor ReportPSBCRBPRBOCAP减薄:

13、Wafer weif ? n .威化饼干、电子晶片(晶圆薄片)Grind g raind vt. & vi. 磨碎;嚼碎 n .磨,碾Crack kr?kvt. & vi.(使)开裂,破裂n.裂缝,缝隙Inki ?kn. 墨水,油墨Die dai vt. & vi. 死亡(芯片)Dot d ?tn . 点,小圆点Mounting maunti? n. 装备,衬托纸Tape teip n. 带子;录音磁带;录像带Size saiz n. 大小,尺寸,尺码Thick 9 ik adj.厚的,厚重的Thickness 8 iknis n.厚(度),深(度)宽(度)Position p?zi?nn.

14、方位,位置Rough r ?fadj .Fine fainadj.Speed spi:dn.粗糙的;不平的美好的,优秀的,优良的,杰出的速度,速率Sparksp a :k n. 火花;火星Out aut adv.离开某地,不在里面;(火或灯)熄灭Grindstone g raindst ?un n. 磨石、砂轮Mountmaunt vt. & vi. 装上、配有Mounter 装配工;安装工;镶嵌工Mounting maunti? n. 装备,衬托纸Magazine,m?(? zi:nn. 杂志,期刊,弹药库(传递料盒)Cassette k? set n.盒式录音带;盒式录像带Inspect

15、in spektvt. 检查,检验,视察Inspectionin spekTPn n.检查,视察Card k a :d n. 卡,卡片,名片划片:Saw s ?:n. 锯 vt. & vi. 锯,往复运动Sawing s ?:i ?n. 锯,锯切,锯开Film filmFrame freimClean kli:nn. 影片,电影(薄膜,蓝膜)n. 框架,骨架,构架adj.清洁的,干净的;纯净的Cleanerkli:n ? n.作清洁工作的人或物Oven ?v?n n . 烤箱,炉Cassette k? set n.盒式录音带;盒式录像带Handler h?ndl ? n.(物品、商品)的操作者

16、Scribe skraib n . 抄写员,抄书更Street n. 大街,街道Blade bleid n. 刀口,刀刃,刀片Cut k ?t vt. & vi. 切,剪,割,削Speedspi:d n. 速度,速率Spindle spindl n. 主轴,(机器的)轴Sizesaizn.大小,尺寸,尺码Cooling ku:li ? adj. 冷却(的)Kerfk ?:fn.锯痕,截口,切口Width wid 9 n . 宽度,阔度,广度Chip t ?p n. 碎片、缺口Chippi ng t?pi ? n. 碎屑,破片Crackkr?k vt .(使)开裂,破裂 n .裂缝,缝隙Miss

17、ing misi ? adj. 失掉的,失踪的,找不到的Die dai vt. & vi. 死亡(芯片)Saw s? n. 锯 vt. & vi. 锯,往复运动Street stri:t n. 大街,街道Film film n. 影片,电影(薄膜,蓝膜)Frame freimn.框架,骨架,构架Tape teipn.带子;录音磁带;录像带Bubble b ?bl n.泡,水泡,气泡mount-贴wafer -晶圆frame-框架blade 刀片tape -膜cassette -盒子completion -完成loader -上料un-loader -出料initial -初始化open-打开a

18、ir -空气pressure - 压 力failure -失败vacuum-真空alignment -校准ink - 黑点die 芯片error -错误limit -限制cover - 盖 子device -产品data -数据saw-切割water -水elevator-升降机spindle -主轴sensor-感应器 wheel-轮子setup -测高rotary- -旋转check-检查 feed -进给cutter-切割speed-速度height -高度 new新shift -轮班pause-暂停clean -清洗center - 中 心chip -崩边change-变换broken

19、 -破的alarm -报警enter -确认Off center -偏离中心上芯:Attach ? t?t ? Bond b ?nd n. Bonder b?nd?vt. & vi.贴上;系;附上连接,接合,结合vt. 使粘结, n.联接器,接合器,粘合器使结合Die attach material epoxyEpoxy e ,p?ksi粘片胶n .环氧树脂(导电胶)Material m? ti ?ri ?l n. 材料,原料Non-conductive epoxy 绝缘胶Conductive k ?n d?ktivadj.传导的Dispenser dis pens? n. 配药师,药剂师No

20、zzle n?zl n. 管嘴,喷嘴Rubber r?b? n. (合成)橡胶,橡皮Tip tip n. 尖端,末端Die pick-up tool 吸嘴Tool tu:ln. 工具,用具Collect k ? lektvt.收集,采集(吸嘴)Ejector i d?ekt? n. 驱逐者,放出器,排出器Pin pin n. 针,大头针,别针Lead Frame 引线框架Lead li:d vt. & vi. 带路,领路,指引Frame freimn. 框架,骨架,构架Magazine ,m?(? zi:n Curing kju ?ri ? n.n.杂志,期刊(料盒)塑化,固化,硫化,硬化Ov

21、en ?v?nn.烤箱,炉Scrap skr?pDent dentDie Lift-offn. 小片,碎片,碎屑n. 凹痕,凹坑晶粒脱落(芯片脱落,掉芯)Skew skju:Misorientationadj.歪,偏,斜mis, ?:rientei ?nn. 定向误差,取向误Pre squeeze delPost squeeze delSqueeze Eject Delay Height Levelskwi:zi d?ektdileihait levln.写胶前气压延时写胶后气压延时vt. 榨取,挤出vt. & vi .n.挤,榨,捏弹出,喷出,排出n.n. 延迟高度,身高水平线,水平面;水平

22、高度Head hedEject up delayEject up heigh Bond leveln.Pick LevelHead pick delayHead bond delayPick delayBond delayIndex indeksClamp kl?mpIndex clamp delayIndex delayShear ?i ?Test testDie shear test头部,领导,首脑顶针延迟顶针高度粘片高度捡拾芯片高度粘接头拾取延迟粘接头粘接延时捡拾芯片延时粘接芯片延时n.vt. & vi.Thickness 索引;标志,象征;量度夹紧;夹住n. 夹具步进夹转换延时框架步进

23、延时vt. 男下毛,男iknisn.n.测验,化验,试验,推品试验n.大剪刀检验Coverage k?v?rid ?Epoxy thickness & coveragen.厚(度),覆盖范围导电胶厚度和覆盖率Orientation, ?rien tei ?nDie Orientation芯片方向n.方向,目标Void v ?id adj. 空的, 处;空虚感,失落感 Epoxy void 导电胶空洞Chip t ?pn. 碎片Damage d?mid? vt. & vi.损毁Chip damage 芯片损伤 Backside b?ksaidn.空虚的 n. 太空,宇宙空间;空隙,空损害,毁坏,

24、加害于 n.损失,损害,臀部,屁股,背面Chip backside damage芯片背面损伤Tilt tiltTilted dieEpoxy on die Crack kr?k 缝隙Crack dieLift lift Lifted dieMisplace ,misMisplaced die NO die on L/Fvt. & vi.(使)倾斜芯片歪斜芯片粘胶vt. & vi.(使)开裂,破裂芯片裂缝/芯片裂痕vt. & vi. 举起,抬起 n. 抬,举 翘芯片pleis vt.把放错位置设置芯片 空粘n.裂缝,Insufficient , *? fi ?ntadj.不足的,不够的Insuf

25、ficient epoxy导电胶不足导电胶多胶银浆烘烤n.边,棱,边缘adj. 部分的,不完全的Epoxy crack Epoxy curing Edge ed ? Partial p q % Mirror mir? Missing misi ? adj. Edge die / partial die Mirror dieMissing dieSplash spl? ? vt. Splatter spl?t ? Diagram dai ?g r?mn. 镜子失掉的,失踪的,找不到的 边缘片/边沿芯片光片/镜子芯片掉芯/漏芯/掉片使(液体)溅起vi.(液体)溅落vt. & vi.(使某物)溅泼n

26、. 图解,简图,图表墨溅Ink splash / ink splatterDie shesr test推片实验/推晶试验Die shear testerDie shesr toolMetal corrosionWafer mapping systemSystem sist ?m推片试验机推片头晶粒腐蚀/芯片腐蚀 芯片分级系统n.系统;体系wafer -晶圆 glue -专艮胶die 芯片attach -粘贴substrate -基板parameter -参数magazine-盒子inspection -检查manual- 操作手册 error -错误reset -重设enter - 确 定in

27、put -输入 pressure -压力speed-速度stop - 停 止vacuum-真空 pin -针sensor- 传感器back side -背面statistics -统计 conversion -改机calibration -校正bond-贴片thickness -厚度 adjust -调整tilt -倾斜度shape-形状contact -接触 chip -崩边cover -覆盖device - 产 品pause-暂停alignment -校准elevator -升降机initial -初始化cassette -盒子 ring -铁圈tape -膜frame -框架temper

28、ature -温度rubber tip -吸嘴frame type -框架型号nozzle - 点胶头writer - 划胶头压焊:Wire wai?n.金属丝,金属线;电线,导线Bond b ?ndn. 接合,结合vt.使粘结,使结合Wire bond / Wiring bonding压焊 / 焊丝 / 球焊Gold wire金丝Die bonding diagram上芯图vt.给装衬垫,加垫子n.垫,护垫焊点、铝垫第一焊点焊点尺寸/铝垫尺寸pil ?rin.毛细管;毛细血管(劈刀)程度;强度;高度铝垫间距/焊点间距n.负荷;负担;工作量,负荷量vt. & vi. 拉,扯,拔Pad p?dB

29、ond pad 1st bondPad sizeCapillary k ?Pitch pit ?Pad pitch Elongationi:l ? g e?nBreaking breiki ?Load l ?ud Breaking Load Pull puln.延长;延长线;延伸率n. 破坏,阻断Shear ?i ?vt.Wire pull / ball pullWire shear / ball shear Ultrasonic , ?ltr ? s?nik Power pau? Force f ?:s Ultrasonic power Bonding force剪羊毛,剪n. 大剪刀(焊丝

30、)拉力(焊丝)推力adj.(声波)超声的n. 功力,动力,功率n. 力;力量;力气超声功率压力n. 温度,气温Bonding time时间Temperature temp?rit ?Bonding temperature温度Ultrasonic wire bonding超声波压焊EFO打火烧球loop lu:pn. 圈,环,环状物Loop height 孤高Wire pull test拉力试验Ball shear test金球推力试验PIN 1第一脚Ball height球高Ball diameter球径Cratering kreit ?ri ?KOH etching testKOHBond

31、Cratering testThermal 8 ?:m?l Compression k ?m pre ?n TCB( Thermal Compression Bond )n. 缩孔;陷穴(弹坑)腐蚀试验压焊腐蚀试验(弹坑试验)adj.热的,热量的n. 挤压,压缩热压焊Bonding DiagramWrong BondingIncomplete, ?ik?m pli:tIncomplete bondNo bonding压焊图/布线图布线错误adj.不完全的,未完成的焊不牢无焊Low Power MicroscopeFlux fl ?ksHook hukWire pull hookBall she

32、ar toolMetal metlN2 BOX氮气柜RTPC实时过程监控Tray trein.盘子,托盘Handing Tray产品盘FBI压焊后目检FBI insp-M/C压焊检验机Microscope maikr ?sk?upn. 显微镜低倍显微镜n.熔剂、焊剂;助熔剂,助焊剂vt. & vi. 钩住,吊住,挂住线钩(测拉力)推球头(测推力)n. 金属Discolor dis k?l?v.使脱色;(使)变色,(使)褪色Oxide Tksaidn.氧化物Metal Discolor铝条变色Bond Pad Discolor铝垫变色Bond Pad Oxide铝垫氧化Stick stik Pe

33、eling pi:li ? Cratering kreit ?ri ? Nonstick bond on pad Bond pad peeling Bond pad cratering Limit limit Scratch skr?t ? Over rework limitBond remove / scratchBall bond non-stickBall to large (small )Ball bond shortNon-stick on lead misplace ,mis pleis connectionk ? nek?nMisplaced bond on LD Wire br

34、okenMissing wireWrong connection defective di fektiv Defective looping Sagging s?g?vt. & vi. 粘贴,张贴n.剥皮,剥下的皮n. 缩孔;陷穴(弹坑)铝垫不粘铝垫脱落铝垫弹坑vt. 限制;限定vt. & vi. 抓,搔,舌U伤超过返工数剔球划伤金球脱落金球过大(小)金球短路引脚脱落(鱼尾脱落)vt.把放错位置n.连接,联结压焊打偏断线漏打错打adj.有缺陷的,欠缺的弧度不良n.下垂沉,陷,松垂,垂度Loop sagging弧度下陷Low loop弧度太低High loop弧度太高Loop short弧度短路

35、vt.伸出;悬挂于之上n.剩余,余渣n.歪曲,曲解跨越引线框架残丝引线框架变形n.数目,数量vt.使配错,使配合不当n.废料vt. 废弃,丢弃vt.舌U伤数量不符空粘未报废金丝受损Overhang , ?uv? h? Residue rezidju: Distortion dis t ? ?n Wire overhang on LD Wire residue LF distortion Quantity kw?ntiti Mismatch mis m?t? Scrap skr?p Scratch skr?t ? Quantity Mismatch Empty M. not scrap Gold

36、 Wire ScratchParameter- 参数Statistics -统计Utility -应用Calibration -校准Teach-教习 Bond tip offset 焊线点纠偏 Contact search -接触测高Zoom off center -放大倍数偏心校准BQM-焊接质量控制PR-patterrecognition图像识别Alignment tolerance一对点偏差PR indexing 图像控制下的步进Capillary -焊线劈刀Wire spool 送线卷轴Window clamp窗口夹板Transducer 功率换能器FTN-功能键Wire thread

37、ing 送线器EFO-电子打火 Linear power -线性马达Vacuum sensor-真空感应器Step driver 一步进驱动Post bond inspection 焊接后检查Wire pull 一拉线Ball shape 推球Ball size焊球大小Ball thickness-焊球高度Loop height 一线弧高度Loop shape一线弧形状Neck crack 一线颈折损Fine adjust -精确调整Conversion 一换产品1st bond non stick第一点不粘2nd bond non stick 第二点不粘peeling-拔铝垫(扯皮)Bond

38、 off -脱焊 Ball deformation 焊球变形servo motor伺服电机weld off -管脚脱焊 crater -裂缝 gold wire -金线missing ball -球未烧好 塑封:Mold m?uld Molding m?uldi ? Compound k?mpaund Moiding M/C ; Mold Press Press pres Heater hi:t ? Pre-heater Chase t ?eis Mold die / Mold chase MGP moldMGPAuto mold load l ?ud 2装 loader l ?ud? Aut

39、o L / F loader handler h?ndl? temperature temp?rit ? Pre-heat Temperature Mold Temperature Clamp kl?mp Pressure pre ? Clamp Pressure Transfer pressure Transfer tr?ns f ?:weak bond - 虚焊n.模子,铸型vt. 浇铸,塑造n.成型(塑封)n.复合物,化合物塑封机n.印刷机n.加热器;炉子预热机n.追捕,追猎塑封模具多缸模具自动包封机vt. & vi. 1 把装上车船n.装货的人,装货设备,装弹机自动排片机n.(动物)驯

40、化者(抓手)n. 温度,气温料饼预热温度模具温度vt. & vi. 夹紧;夹住n. 夹具n.压(力),压强合模压强注塑压强vt. & vi. 转移;迁移n. 转移Curing kju ?ri ?n.塑化,固化,硫化,硬化Curing timeCuring temperaturePre-heat TimeTransfer speedTransfer time固化时间固化温度(料饼)预热时间注塑速度注塑时间上料/下料vt. & vi. 扫,打扫,拂去冲丝开路短路vt. & vi. (使)充满,(使)装满,填满n.(孔型)未充满胶体未灌满adj.不完全的,未完成的未封满PMC time (Post

41、Mold Cure Time)后固化时间Load / unloadSweep swi:pWire SweepOpenShortFill filUnderfill ?nd?filBody underfilledIncomplete , ?nk?m pli: tIncomplete moldn. 碎片,缺口崩角n.多孔性,有孔性胶体麻点n.泡,水泡,气泡n. 气泡vt. & vi. (使)起水泡vt. 弄脏,弄污 n. 污迹,污斑n.面,表面不均匀(表面)v.将分层,分成细层分层adj. 空的,空虚的Chip t ?ipChip package / body chip-outPorosity p

42、?: r ?sitiPorosity BodyBubble b?blBlister blist ?Smear smi ?Surface s ?:fisRoough surfaceDelaminate di: l?m?neitDelaminatingVoid v ?id胶体空洞PKG VoidDeep di:p Scratch skr?t ? Body deep scratch Dimension di meh?n Mold PKG dimension BTM width / length Top width / length PKG thick Mismatch mis m?t? Mold m

43、ismatch / PKG mismatch Offset 才set Misalignment mis?lainm ?ntMold offset / PKG misalignment PMC (post mold cure ) Dummy d ?mi Strip strip Dummy molded strip Mold flash Gate g eit Mold gate Remain ri mein Gate remain Compound k?mpaund Aging eid?i? Compound Aging Locator l ?u keit ? Block bl ?k Locato

44、r Block Ejector i d?ekt?adj. 深的vt.舌U伤胶体刮痕n. 尺寸,度量塑封体尺寸背面宽/ 长正面宽/ 长塑封体厚度vt. 使配错,使配合不当包封偏差(胶体错位)vt. 抵消,补偿n.未对准偏心后固化n. 人体模型vt. 剥去,剥夺,夺走空封废胶n.门,栅栏门注浇口、进浇口n. 剩余物;残余小脚n.复合物,化合物n.老化,成熟的过程料饼醒料(回温过程)n.表示位置之物,土地n.大块(木料、石料、金属、冰等)定位块n.驱逐者,放出器n.大头针,别针,针n.深,深度顶针顶针深度n.储藏处,仓库冷藏库/料饼存放库n. 空气n. 枪,炮n. 涂层,覆盖层n.材料,原料,素材,

45、资料气枪芯片涂胶芯片涂胶机覆晶胶n.手推车后站推车n.药片、胶囊n.装货的人,装货设备,装弹机n.预热器n.(房屋等的)固定装置自动排胶粒机高频预热机上料/下料架胶粒盒塑封料饼洗模饼tei ?nn.定向误差,取向误差胶体压反塑封溢胶Pin pinDepth dep 0 Ejector Pin E-pin Depth Storage st ?rid ?Cold room / compound storage Air ? Gun g?n Coating k?uti? Material m? ti ?ri ?l Air Gun Die Coating Auto die coating M/C Die

46、 Coating Material Cart k q :t ASS YB Cart Tablet t?blitLoader 1 ?ud? Preheater pri: hi:t ?Fixture fikst ?Auto Tablet Loader Compoud Preheater Load /Unload Fixture Tablet Magazine Compoud Tablets Molding Cleaning Compoud misorientation mis, ?:rien PKG Misorientation Mold flash on leadMold crack胶体裂痕Se

47、miconductor -半导体 Molding -模封Onload-上料Offload -出料 Belt 皮带 Preheater turntable -预热转盘 Transfer -传送 Safety Door -安全门 Pick and place -机械手 Motor-马达 Station -模腔Cleaning brush -清洁刷Cylinder -气缸Sensor -传感器Solenoid -电磁阀Turn over -翻转器Degate -切料口Bearing -轴承Picker -爪子Pusher -推动器Cull bin -垃圾箱Pin- 针Vacuum pump-真空泵M

48、ornitor -显示器Cable -导线Profile -温度曲线Alarm -报警Error -错误Driver -驱动Sensor -感应器Inspection -检查Parameter-参数Manual-手动,手册Reset-复位Initialing -初始化Guide -导轨Substrate -基板 Device -产品种类 Lot Traveller -随工单 Magazine-盒子Cylinder -汽缸Bearing -轴承Stop-停止 Emergency Stop-紧急停止Gripper -夹子Heat -加热器 Pipe -管子Temperature -温度Hopper -漏斗 Compress air -压缩空气Over flow 一反面漏胶Semiconductor -半导体 Molding 一模封Operation 一操作Flange 法兰盘Pump 一泵Chamber 一腔体Vent -气孔 Value -值Alarm-报警Error -错误Inspection -检查Parameter-参数Manual-手动,手册Reset-复位Initialing -初始化Inc

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论