电子万年历设计__课程设计1_第1页
电子万年历设计__课程设计1_第2页
电子万年历设计__课程设计1_第3页
电子万年历设计__课程设计1_第4页
电子万年历设计__课程设计1_第5页
已阅读5页,还剩27页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、目 录第一章 系统概述.5第二章 方案选择.62.1 方案1基于AT89S52单片机的电子万年历设计62.2 方案2基于DS1302的电子万年历设计6第三章 系统硬件电路的设计.73.1 系统核心局部闪电存储型器件AT89S5273.1.1 AT89S52具有以下主要性能73.1.2 AT89S52的引脚及功能73.2 DS1302时钟电路93.2.1 DS1302芯片介绍103.2.2 DS1302 的应用133.3 存储电路143.3.1 AT24C02管脚介绍143.3.2 AT24C02的特性143.4 液晶显示电路163.4.1 液晶显示控制驱动器HD61202的特点173.4.2

2、液晶显示控制驱动器HD61202的引脚功能173.4.3 液晶显示控制驱动器HD61202的指令系统173.4.4 HY-12864的电路结构特点193.5 键盘电路21第四章 系统程序的设计.224.1 阳历程序的设计224.2 时间调整程序设计224.3 阴历程序设计24第五章 测试结果.27致 谢.29参考文献.30摘 要在日新月异的21世纪里,家用电子产品得到了迅速开展。许多家电设备都趋于人性化、智能化,这些电器设备大局部都含有CPU控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛开展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类

3、产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器冰箱、空调、彩电等。用单片机来控制的小型家电产品具有便携实用,操作简单的特点。本文设计的电子万年历属于小型智能家用电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路,可实现时间的调整和显示。电子万年历既可广泛应用于家庭,也可应用于银行、邮电、宾馆、医院、学校、企业、商店等相关行业的大厅,以及单位会议室、门卫等场所。因而,此设计具有相当重要的现实意义和实用价值。第一章 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,结合DS1302时钟

4、芯片和24C02FLASH存储器,显示阳历年、月、日、星期、时、分、秒和阴历年、月、日,在显示阴历时间时,能标明是否闰月,同时完成对它们的自动调整和掉电保护,全部信息用液晶显示。人机接口由三个按键来实现,用这三个按键对时间、日期可调,并可对闹铃开关进行设置。软件控制程序实现所有的功能。整机电路使用+5V稳压电源,可稳定工作。系统框图如图1-1所示,其软硬件设计简单,时间记录准确,可广泛应用于长时间连续显示的系统中。人机接口显示电路软件控制程序电源电路单片机控制电路图1-1 系统框图第二章 方案选择由于电子万年历的种类比拟多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短

5、开发周期,降低本钱,更快地将产品推向市场。2.1 方案1基于AT89S52单片机的电子万年历设计不使用时钟芯片,而直接用AT89S52单片机来实现电子万年历设计。AT89S52是一种带8K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。假设采用单片机计时,利用它的一个16位定时器/计数器每50ms产生一个中断信号,中断20次后产生一个秒信号,然后根据时间进制关系依

6、次向分、时、日、星期、月、年进位。这样就实现了直接用单片机来实现电子万年历设计。用单片机来实现电子万年历设计,无须外接其他芯片,充分利用了单片机的资源。但是精度不够高,误差较大,掉电后丧失所有数据,软件编程较复杂。2.2 方案2基于DS1302的电子万年历设计在以单片机为核心构成的装置中,经常需要一个实时的时钟和日历,以便对一些实时发生事件记录时给予时标,实时时钟芯片便可起到这一作用。过去多用并行接口的时钟芯片,如MC146818,DS12887等。它们已能完全满足单片机系统对实时时钟的要求,但是这些芯片与单片机接口复杂、占用地址, 数据总线接线多、芯片体积大占用空间多、近年来串行接口的各种芯

7、片在单片机系统中应用愈来愈多,串行接口的实时时钟芯片也出现了不少,DS1302是一个综合性能较好且价格廉价的串行接口实时时钟芯片。利用单片机进行控制,采用DS1302作为实时时钟芯片,其三线接口SCLK、I/O、/RST与单片机进行同步通信,外加掉电存储电路、显示电路、键盘电路,即构成一个根本的电子万年历系统,假设还要添加其他功能,在这根底上外扩电路即可。由于在系统设计时,需要考虑以下几点因素:功耗低、精确度高、软件编程较简单,芯片的体积小、芯片本钱低等,而DS1302芯片有上面所述的诸多优点,所以本设计采用方案2。第三章 系统硬件电路的设计按照系统设计功能的要求,初步确定设计系统由主控模块、

8、时钟模块、存储模块、键盘接口模块、显示模块和闹铃模块共6个模块组成,电路系统构成框图如图4-1所示。主控芯片使用52系列AT89S52单片机,时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302,存储模块采用美国ATMEL公司生产的低功耗CMOS串行EEPROM存储芯片AT24C02。DS1302作为主要计时芯片,可以做到计时准确。更重要的是,DS1302可以在很小电流的后备 2.55.5V电源,在2.5V时耗电小于300nA下继续计时,并可编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源根本不耗电。89S52主控模块DS1302时钟电路键

9、扫描电路液晶显示存储电路闹铃电路图4-1 电子万年历电路系统构成框图电子万年历设计电路原理图 主程序 D 3.1 系统核心局部闪电存储型器件AT89S523.1.1 AT89S52具有以下主要性能5: 8KB可改编程序Flash存储器可经受1000次的写入/擦除周期 全静态工作:0Hz24MHz三级程序存储器保密1288字节内部RAM32条可编程I/O线2个16位定时器/计数器6个中断源可编程串行通道片内时钟振荡器3.1.2 AT89S52的引脚及功能AT89S52单片机的管脚说明如图4-2所示。图4-2 AT89S52的管脚(1) 主要电源引脚 VCC 电源端 GND 接地端(2) 外接晶体

10、引脚XTAL1和XTAL2 XTAL1 接外部晶体的一个引脚。在单片机内部,它是构成片内振荡器的反相放大器的输入端。当采用外部振荡器时,该引脚接收振荡器的信号,既把此信号直接接到内部时钟发生器的输入端。 XTAL2 接外部晶体的另一个引脚。在单片机内部,它是上述振荡器的反相放大器的输出端。采用外部振荡器时,此引脚应悬浮不连接。(3) 控制或与其它电源复用引脚RST、ALE/PROG、/PSEN和/EA/VPP RST 复位输入端。 当振荡器运行时,在该引脚上出现两个机器周期的高电平将使单片机复位。 ALE/PROG 当访问外部存储器时,ALE地址锁存允许的输出用于锁存地址的低位字节。即使不访问

11、外部存储器,ALE端仍以不变的频率此频率为振荡器频率的1/6周期性地出现正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。然而要注意的是:每当访问外部数据存储器时,将跳过一个ALE脉冲。在对Flash存储器编程期间,该引脚还用于输入编程脉冲/PROG6。 /PSEN 程序存储允许/PSEN输出是外部程序存储器的读选通信号。当AT89S52/LV52由外部程序存储器取指令或常数时,每个机器周期两次/PSEN有效既输出2个脉冲。但在此期间内,每当访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA/VPP 外部访问允许端。要使CPU只访问外部程序存储器地址为0000HFFFFH

12、,那么/EA端必须保持低电平接到GND端。当/EA端保持高电平接VSS端时,CPU那么执行内部程序存储器中的程序。 P0.7 P0是一个8位漏极开路型双向I/O端口。作为输出口用时,每位能以吸收电流的方式驱动8个TTL输入,对端口写1时,又可作高阻抗输入端用。 P1.7 P1是一个带有内部上拉电阻的8位双向I/O端口。P1的输出缓冲器可驱动吸收或输出电流方式4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。作输入口时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。 P2.7 P2是一个带有内部上拉电阻的8位双向I/O端口。P2的输出缓冲器可驱动

13、吸收或输出电流方式4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。P2作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。P3.7 P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流,这是由于上拉的缘故。P3口也可作为AT89S52的一些特殊功能,这些特殊功能见表3-1。表3-1 P3端口的特殊功能 端口引脚 兼 用 功 能RXD 串行输入口TXD 串行输出口/INT0 外部中断0/INT1 外部中断1T0

14、 定时器0的外部输入T1 定时器1的外部输入/WR 外部数据存储器写选通/RD 外部数据存储器读选通3.2 DS1302时钟电路3.2.1 DS1302芯片介绍低功耗时钟芯片DS1302可以对年、月、日、时、分、秒进行计时,且具有闰年补偿等多种功能。DS1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录。这种记录对长时间的连续测控系统结果的分析以及对异常数据出现的原因的查找有重要意义8。采用DS1302作为记录测控系统中的数据记录,其软硬件设计简单,时间记录准确,既防止了连续记录的大工作量,又防止了定时记录的盲目性,给连续长时间的测量、控制系统

15、的正常运行及检查都来了很大的方便,可广泛应用于长时间连续的测控系统中。在测量控制系统中,特别是长时间无人职守的测控系统中,经常需要记录某些具有特殊意义的数据及其出现的时间。记录及分析这些特殊意义的数据,对测控系统的性能分析及正常运行具有重要的意义。传统的数据记录方式是隔时采样或定时采样,没有具体的时间记录,因此只能记录数据而无法准确记录其出现的时间;假设采用单片机计时,一方面需要采用计数器,占用硬件资源,另一方面需要设置中断、查询等,同样消耗单片机的资源,而且某些测控系统可能不允许。而在系统中采用DS1302那么能很好地解决这个问题。(1) DS1302的性能特性实时时钟,可对秒、分、时、日、

16、周、月以及带闰年补偿的年进行计数;用于高速数据暂存的318位RAM;最少引脚的串行I/O;2.55.5V 电压工作范围;2.5V时耗电小于300nA;用于时钟或RAM数据读/写的单字节或多字节脉冲方式数据传送方式;简单的3线接口;可选的慢速充电至VCC1的能力。DS1302时钟芯片包括实时时钟/日历和31字节的静态RAM。它经过一个简单的串行接口与微处理器通信。实时时钟/日历提供秒、分、时、日、周、月和年等信息。对于小于31天的月和月末的日期自动进行调整,还包括闰年校正的功能。时钟的运行可以采用24h或带AM上午/PM下午的12h格式。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送

17、多个字节的时钟信号或RAM数据。DS1302有主电源/后备电源双电源引脚:VCC1 在单电源与电池供电的系统中提供低电源,并提供低功率的电池备份;VCC2在双电源系统中提供主电源,在这种运用方式中,VCC1 连接到备份电源,以便在没有主电源的情况下能保存时间信息以及数据。DS1302由VCC1或VCC2中较大者供电。当VCC2大于VCC1+0.2V时,VCC2给DS1302供电;当VCC2小于VCC1时,DS1302由VCC1供电。(2) DS1302数据操作原理DS1302在任何数据传送时必须先初始化,把RST脚置为高电平,然后把8位地址和命令字装入移位存放器,数据在SCLK的上升沿被输入。

18、无论是读周期还是写周期,开始8位指定40个存放器中哪个被访问到。在开始8个时钟周期,把命令字节装入移位存放器之后,另外的时钟周期在读操作时输出数据,在写操作时写入数据。时钟脉冲的个数在单字节方式下为8加8,在多字节方式下为8加字节数,最大可达248字节数。图4-3 DS1302管脚图如果在传送过程中置RST为低电平,那么会终止本次数据传送,并且I/O引脚变为高阻态。上电运行时,在VCC =2.5V之前,RST脚必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。DS1302的管脚图如图4-3所示,内部结构图如图4-4所示,表4-2为各引脚的功能。电源控制输入移位存放器实时时钟命令

19、与控制逻辑318RAM振荡器与分频器图4-4 DS1302内部结构图表4-2 DS1302引脚功能表引脚号引脚名称功能1VCC2主电源2,3X1,X2振荡源,外接32768HZ晶振4GND地线5RST复位/片选线6I/O串行数据输入/输出端双向7SCLK串行数据输入端8VCC1后备电源DS1302的控制字如图4-5所示。控制字节的最高有效位位7必须是逻辑1;如果它为逻辑0,那么不能把数据写入到DS1302中。位6如果为0,那么表示存取日历时钟数据;为1表示存取RAM数据。位51A4A0指示操作单元的地址。最低有效位位0如为0,表示要进行写操作;为1表示进行读操作。控制字节总是从最低位开始输入/

20、输出。7 6 5 4 3 2 1 01RAM CKA4A3A2A1A0RAM K图4-5 控制字节的含义为了提高对32个地址的寻址能力地址/命令位15逻辑1,可以把时钟/日历或RAM存放器规定为多字节burst方式。位6规定时钟或RAM,而位0规定读或写。在时钟/日历存放器中的地址931或RAM存放器中的地址31不能存储数据。在多字节方式中,读或写从地址0的位0开始。必须按数据传送的次序写最先的8个存放器。但是,当以多字节方式写RAM时,为了传送数据不必写所有31字节。不管是否写了全部31字节,所写的每一字节都将传送至RAM。数据读写程序如图3-6所示。SCLKKRSTI/O571357210

21、246046R/CA2A3A0A1R/WA41DATAI/OBYTEDATAI/OBYTE图3-6 数据读写程序DS1302共有12个存放器,其中有7个存放器与日历、时钟相关,存放的数据位为BCD码形式,其日历、时间存放器及其控制字见表3-3,其中奇数为读操作,偶数为写操作。表3-3 DS1302的日历、时钟存放器及其控制字存放器名命令字取值范围各位内容写操作读操作76543210秒存放器80H81H00-59CH10SECSEC分钟存放器82H83H00-59010MINMIN小时存放器84H85H01- 12或00-2312/24010APHRHR日期存放器86H87H01-28,29,3

22、0,310010DATEDATE月份存放器88H89H01-12000IOMMONTH周日存放器8AH8BH01-0700000DAY年份存放器8CH8DH00-9910YEARYEAR时钟暂停:秒存放器的位7定义位时钟暂停位。当它为1时,DS1302停止振荡,进入低功耗的备份方式。通常在对DS1302进行写操作时如进入时钟调整程序,停止振荡。当它为0时,时钟将开始启动。AM-PM/12-24小时方式:小时存放器的位7定义为12或24小时方式选择位。它为高电平时,选择12小时方式。在此方式下,位5是AM/PM位,此位是高电平时表示PM,低电平表示AM,在24小时方式下,位5为第二个10小时位2

23、023h。3.2.2 DS1302 的应用实时时钟芯片DS1302采用串行数据传输,可为掉电保护电源提供可编程的充电功能,也可以关闭充电功能,芯片采用32768Hz晶振。要特别说明的是,备用电源BT1可以用电池或超级电容10万F以上。虽然DS1302在主电源掉电后耗电很小,但如果要长时间保证时钟正常,最好选用小型充电电池。如果断电时间较短几小时或几天,可以用漏电较小的普通电解电容代替100F就可以保证1小时的正常走时9。DS1302在第一次加电后,需进行初始化操作。初始化后就可以按正常方法调整时间及闹铃。DS1302的时钟电路如图3-7所示。图3-7 DS1302时钟电路3.3 存储电路3.3

24、.1 AT24C02管脚介绍AT24C02是美国ATMEL公司的低功耗CMOS串行EEPROM,它是内含2568位存储空间,具有工作电压宽2.55.5V、擦写次数多大于10000次、写入速度快小于10ms等特点。 AT24C02的1、2、3脚是三条地址线,用于确定芯片的硬件地址。第8脚和第4脚分别为正、负电源。第5脚SDA为串行数据输入/输出,数据通过这条双向I2C总线串行传送。第6脚SCL为串行时钟输入线。SDA和SCL都需要和正电源间各接一个4.7K的电阻上拉。I2C总线是一种用于I2C器件之间连接的二线制总线。它通过SDA串行数据线及SCL串行时钟线两根线在连到总线上的器件之间传送信息,

25、并根据地址识别每个器件:不管是单片机、存储器、LCD驱动器还是键盘接口。3.3.2 AT24C02的特性(1) I2C总线的根本结构采用I2C总线标准的单片机或I2C器件,其内部不仅有I2C接口电路,而且将内部各单元电路按功能划分为假设干相对独立的模块,通过软件寻址实现片选,减少了器件片选线的连接。CPU不仅能通过指令将某个功能单元电路挂靠或摘离总线,还可对该单元的工作状况进行检测,从而实现对硬件系统的既简单又灵活的扩展与控制。(2) 双向传输的接口特性传统的单片机串行接口的发送和接收一般都各用一条线,而I2C总线那么根据器件的功能通过软件程序使其可工作于发送或接收方式。当某个器件向总线上发送

26、信息时,它就是发送器(也叫主器件),而当其从总线上接收信息时,又成为接收器(也叫从器件)。主器件用于启动总线上传送数据并产生时钟以开放传送的器件,此时任何被寻址的器件均被认为是从器件。I2C总线的控制完全由挂接在总线上的主器件送出的地址和数据决定。总线上主和从(即发送和接收)的关系不是一成不变的,而是取决于此时数据传送的方向。SDA和SCL均为双向I/O线,通过上拉电阻接正电源。当总线空闲时,两根线都是高电平。连接总线的器件的输出级必须是集电极或漏极开路,以具有线“与功能。I2C总线的数据传送速率在标准工作方式下为100kbit/s,在快速方式下,最高传送速率可达400kbit/s。(3) I

27、2C总线上的时钟信号在I2C总线上传送信息时的时钟同步信号是由挂接在SCL时钟线上的所有器件的逻辑“与完成的。SCL线上由高电平到低电平的跳变将影响到这些器件,一旦某个器件的时钟信号下跳为低电平,将使SCL线一直保持低电平,使SCL线上的所有器件开始低电平期。此时,低电平周期短的器件的时钟由低至高的跳变并不能影响SCL线的状态,于是这些器件将进入高电平等待的状态。当所有器件的时钟信号都上跳为高电平时,低电平期结束,SCL线被释放返回高电平,即所有的器件都同时开始它们的高电平期。其后,第一个结束高电平期的器件又将SCL线拉成低电平。这样就在SCL线上产生一个同步时钟。可见,时钟低电平时间由时钟低

28、电平期最长的器件确定,而时钟高电平时间由时钟高电平期最短的器件确定。(4) 数据的传送在数据传送过程中,必须确认数据传送的开始和结束。当时钟线SCL为高电平时,数据线SDA由高电平跳变为低电平定义为“开始信号;当SCL线为高电平时,SDA线发生低电平到高电平的跳变为“结束信号。开始和结束信号都是由主器件产生。在开始信号以后,总线即被认为处于忙状态;在结束信号以后的一段时间内,总线被认为是空闲的。 I2C总线的数据传送格式是:在I2C总线开始信号后,送出的第一个字节数据是用来选择从器件地址的,其中前7位为地址码,第8位为方向位(R/W)。方向位为“0表示发送,即主器件把信息写到所选择的从器件;方

29、向位为“1表示主器件将从从器件读信息。开始信号后,系统中的各个器件将自己的地址和主器件送到总线上的地址进行比拟,如果与主器件发送到总线上的地址一致,那么该器件即为被主器件寻址的器件,其接收信息还是发送信息那么由第8位(R/W)确定。在I2C总线上每次传送的数据字节数不限,但每一个字节必须为8位,而且每个传送的字节后面必须跟一个认可位第9位,也叫应答位ACK。每次都是先传最高位,通常从器件在接收到每个字节后都会做出响应,即释放SCL线返回高电平,准备接收下一个数据字节,主器件可继续传送。如果从器件正在处理一个实时事件而不能接收数据时例如正在处理一个内部中断,在这个中断处理完之前就不能接收I2C总

30、线上的数据字节,可以使时钟SCL线保持低电平,从器件必须使SDA保持高电平,此时主器件产生1个结束信号,使传送异常结束,迫使主器件处于等待状态。当从器件处理完毕时将释放SCL线,主器件继续传送。 当主器件发送完一个字节的数据后,接着发出对应于SCL线上的一个时钟ACK认可位,在此时钟内主器件释放SDA线,一个字节传送结束,而从器件的响应信号将SDA线拉成低电平,使SDA在该时钟的高电平期间为稳定的低电平。从器件的响应信号结束后,SDA线返回高电平,进入下一个传送周期。(5) 总线竞争的仲裁总线上可能挂接有多个器件,有时会发生两个或多个主器件同时想占用总线的情况。例如,多单片机系统中,可能在某一

31、时刻有两个单片机要同时向总线发送数据,这种情况叫做总线竞争。I2C总线具有多主控能力,可以对发生在SDA线上的总线竞争进行仲裁,其仲裁原那么是这样的:当多个主器件同时想占用总线时,如果某个主器件发送高电平,而另一个主器件发送低电平,那么发送电平与此时SDA总线电平不符的那个器件将自动关闭其输出级。总线竞争的仲裁是在两个层次上进行的。首先是地址位的比拟,如果主器件寻址同一个从器件,那么进入数据位的比拟,从而确保了竞争仲裁的可靠性。由于是利用I2C总线上的信息进行仲裁,因此不会造成信息的丧失。24C02与单片机的接口非常简单,如图4-8所示。A0,A1,A2为器件地址线,WP为写保护引脚,SCL,

32、SDA为二线串行接口,符合I2C总线协议。图4-8 24C02存储电路3.4 液晶显示电路显示器局部是由HD61202液晶显示控制驱动器和HY12864液晶显示器组成的,下面我们对其分别进行介绍。3.4.1 液晶显示控制驱动器HD61202的特点HD61202液晶显示控制驱动器是一种带有驱动输出的图形液晶显示控制器,它可直接与8位微处理器相连,它可与HD61203配合对液晶屏进行行、列驱动。HD61202是一种带有列驱动输出的液晶显示控制器,它可与行驱动器HD61203配合使用,组成液晶显示驱动控制系统12。(1) 内藏6464=4096位显示RAM,RAM中每位数据对应LCD屏上一个点的亮、

33、暗状态;(2) HD61202是列驱动器,具有64路列驱动输出;(3) HD61202读、写操作时序与68系列微处理器相符,因此它可直接与68系列微处理器接口相连;(4) HD61202的占空比为1/321/64。3.4.2 液晶显示控制驱动器HD61202的引脚功能HD61202的引脚功能如下表3-4所示。表3-4 HD61202的引脚功能引脚符号状态引脚名称功能CS1,CS2,CS3输入芯片片选端CS1和CS2低电平选通,CS3高电平选通。E输入读写使能信号在E下降沿,数据被锁存写入HD61202;在E高电平期间,数据被读出R/W输入读写选择信号R/W=1为读选通,R/W=0为写选通RS输

34、入数据、指令选择信号RS=1为数据操作 RS=0为写指令或读状态DB0-DB7三态数据总线RST输入复位信号复位信号有效时,关闭液晶显示,使显示起始行为0,RST可跟MPU相连,由MPU控制;也可直接接VDD,使之不起作用。3.4.3 液晶显示控制驱动器HD61202的指令系统HD61202的指令系统比拟简单,总共只有七种。现分别介绍如下。 (1) 显示开/关指令R/W RSDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB00 0 0 0 1 1 1 1 1 1/0当DBO=1时,LCD显示RAM中的内容;DBO=0时,关闭显示。(2) 显示起始行ROW设置指令R/W RSDB7

35、DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 1 1 显示起始行0-63该指令设置了对应液晶屏最上一行的显示RAM的行号,有规律的改变显示起始行,可以使LCD实现显示滚屏的效果。(3) 页(PAGE)置指令R/W RSDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 1 0 1 1 1页号0-7显示RAM共64行,分8页,每页8行。(4) 列地址Y Address设置指令R/W RSDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 1 显示列地址0-63设置了页地址和列地址,就唯一确定了显示RAM中的一个单元,这样MPU就可以用

36、读、写指令读出该单元中的内容或向该单元写进一个字节数据。(5) 读状态指令R/W RSDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 1 0BUSY 0 ON/OFF REST 0 0 0 0该指令用来查询HD61202的状态,各参量含义如下:BUSY:1-内部在工作 0-正常状态ON/OFF:1-显示关闭 0-显示翻开REST: 1-复位状态 0-正常状态在BUSY和REST状态时,除读状态指令外,其它指令均不对HD61202产生作用。在对HD61202操作之前要查询BUSY状态,以确定是否可以对HD61202进行操作。(6) 写数据指令R/W RSDB7 DB6 DB5 D

37、B4 DB3 DB2 DB1 DB0 0 1 写 数 据(7) 读数据指令R/W RSDB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 1 1 读 显 示 数 据读、写数据指令每执行完一次读、写操作,列地址就自动增一,必须注意的是,进行读操作之前,必须有一次空读操作,紧接着再读才会读出所要读的单元中的数据。3.4.4 HY-12864的电路结构特点HY-12864是使用HD61202作为列驱动器,同时使用HD61203作为行驱动器的液晶模块。由于HD61203不与MPU发生联系,只要提供电源就能产生行驱动信号和各种同步信号,比拟简单,因此这就不做介绍了。下面主要介绍以下HY-12

38、864这个模块的逻辑电路图。HY-12864共有两片HD61202和一片HD61203,如以下图3-9所示。图3-9 HY-12864的逻辑电路图在HY-12864中,两片HD61202的ADC均接高电平,RST也接高电平,这样在使用HY-12864时就不必再考虑这两个引脚的作用。/CSA跟HD612021的/CS1相连;/CSB跟HD612022的CS1相连,因此/CSA、/CSB选通组合信号为/CSA,/CSB=01选通1,/CSA,/CSB=10选通2。对于HY-12864,只要供应VDD、VSS和V0即可,HD61202和HD61203所需的电源将由模块内部电路在VDD和V0、VSS的

39、作用下产生。HY-12864的应用以下内容为以单片机8031为例机的接口电路,电路原理图如图3-10所示。控制电路为直接访问方式的接口电路。图3-10 8031与液晶的接口电路根据以上电路原理图中液晶的各引脚与单片机的接法,可得本设计的液晶模块电路如图4-11所示。VSS接地;数字电源VDD接5V;比照度控制电压V0接电位器,可通过调节电位器调整液晶亮度;数据、指令选择信号RS接单片机P2.0口;读写选择信号R/W接单片机P2.1口;单片机读、写选通信号/RD、/WR通过与非门接液晶的读写使能信号E;DB0DB7分别接单片机的P0.0P0.7口;芯片1、芯片2的片选分别接单片机的P2.2、P2

40、.3口;复位端RST、背光正电源LEDA接5V;液晶驱动电压VEE、背光负电源LEDK接地。图3-11 液晶模块电路3.5 键盘电路本设计共采用按键3个,分别与单片机的P1.7、P1.6、P1.5口相连,分别对应光标移动,时间、日期调整,退出、闹铃开关键的功能。与单片机的接法可参照附录1电子万年历设计电路原理图。4.6 闹铃电路当闹铃显示“关时,闹铃不起作用;当闹铃显示“开时,设定闹铃时间,闹铃时间只可设定时和分,当前时间不断与设定的闹铃时间比拟,不相等时不产生任何现象,一旦相等,P3.5输出一个高电平使三极管导通,从而使蜂鸣器工作,闹铃起作用。闹铃电路如图3-12所示。图3-12 闹铃电路第

41、四章 系统程序的设计4.1 阳历程序的设计因为使用了时钟芯片DS1302,阳历程序只需从DS1302各存放器中读出年、周、月、日、小时、分、秒等数据,再处理即可。在首次对DS1302进行操作之前,必须对它进行初始化,然后从DS1302中读出数据,再经过处理后,送给显示缓冲单元。阳历程序流程图见图4-1所示。 开 始初始化DS1302读24C021302开始振荡从1302中读出年、周、月、日、小时、分、秒将BCD码上下位别离,送显示缓冲单元图4-1 阳历程序流程图4.2 时间调整程序设计调整时间用三个调整按钮,一个作为移位、控制用,一个作为加用,一个作为退出调整和闹铃开关用。分别定义为控制按钮、

42、加按钮、退出按钮。在调整时间过程中,要调整的位与别的位应该有区别,所以增加了闪烁功能,即调整的位一直在闪烁,直到调整下一位。闪烁原理就是,让要调整的一位每隔一定的时间熄灭一次,例如间隔时间为50ms。利用定时器计时,当到达50ms溢出时,就送给该位熄灭符,在下一次溢出时,再送正常显示的值,不断交替,直到调整该位结束。此时送正常显示值给该位,再进入下一位调整闪烁程序。时间调整程序流程图如图4-2所示。控制键有效,进入年调整程序等待按键程序加键有效年加1控制键有效,进入月调整程序控制键有效,进入日调整程序控制键有效,进入星期调整程序控制键有效,进入时调整程序控制键有效,进入分调整程序等待按键程序加

43、键有效月加1等待按键程序加键有效日加1等待按键程序加键有效星期加1等待按键程序加键有效小时加1等待按键程序加键有效分加1控制键有效,跳出时间调整程序,进入主循环程序图4-2 时间调整程序流程图4.3 阴历程序设计阴历程序的实现是要靠阳历日期来推算的。要根据阳历来推算阴历日期,首先要设计算法。推算方法是,根据阳历当前日期在一年中的天数来计算阴历日期。阳历一个月不是30天就是31天2月除外,闰年2月为29天,平年2月为28天。阴历一年有12个月或13个月含闰月,一个月为30天或29天。如果把一个只有29天的月称为小月,用1为标志,把30天的月称为大月,用0为标志,那么12位二进制就能表示一年12个

44、月的大小。如果有闰月,那么把闰月的月份作为一个字节的高4位,低4位表示闰月大小,大月为0,小月为1,这样一个字节就包括了所有闰月的信息。阴历春节和阳历元旦相差的天数也用一个字节表示。总共用4字节就可以存储一年中任何一天阳历和阴历的对应关系的有关数据,例如2004年的阴历和阳历对应关系如表4-1所示。表4-1 2004年的阴历和阳历对应关系表月份123456789101112闰2月大小小大大大小大小大小大小大小二进制1000101010101天数 293030302930293029302930十六进制4252212004年的春节和元旦差21天,这样2004年的信息表示为:21,42H,52H,

45、21H。其中表示12个月大小信息的字节,第4位和第7位不用,第1个字节为十进制,其它的都为十六进制。按此方法,50年的阳历和阴历对应关系表总共使用200字节。有了算法和数据以后,就可以设计软件了。先要根据当前阳历的日期,算出阳历为该年中的第几天。图5-3为计算阳历中任何一天在该年中为第几天的程序流程图。置阳历总天数为0当前月为1月?总天数中参加该月天数月数加1与当前月同?总天数中参加号数当前号数是总天数计算阳历天数结束,总天数中的数据为当前日期在阳历年中为第几天YNNY图4-3 计算阳历天数程序流程图计算出当前阳历日期为该年中的第几天后,再减去阳历该年春节和元旦的日差,如果够减,那么相减的结果

46、就是阴历在该年中的总第几天了。根据该数据就可以推算出具体的当前阴历日期;如果不够减,那么表示当前阴历年为阳历年的前一年。这种情况下,根据实际,当前阴历日期会处于阴历11月或12月,此时春节和元旦的日差减去前面计算出的当前阳历日期在阳历年为第几天的数据,其结果表示当前阴历日期离春节的天数。计算出的阳历天数为该年的第几天,存放在存放器R2和R3中。计算出天数后,如果大于#FFH,那么把#FFH存放在R2中,余值存放在R3中。也就是说在用存放器R2和R3表示的天数信息中,R2充当主存放器,数据先存满R2,再存R3。在整个转换程序中,这里面的数据不能被覆盖。计算出阳历总天数后,就可以根据它来推算阴历日

47、期。推算方法是,先用总天数减去春节和元旦的日差,如果结果为1,那么该天正好是春节因为春节在元旦之后,在计算春节和元旦的日差时,假设元旦为0天,春节为n天,那么日差为n。而前面计算的阳历总天数是该天在该年中的第几天,是以元旦为1而得到的,与计算春节和元旦日差的这样方法相比,其数值少了1,所以要在原来本应该以0作为该天就是春节的依据的根底上加1,所以以1作为该天是春节的标志;如果结果小于1,那么阴历应该是阳历的前一年;如果结果大于1,说明阳历和阴历为同一年。再根据查表所得的该年的阴历的闰年和大小月的信息,就可以推算出该天的阴历日期了。图4-4为由总天数推算出阴历日期的程序流程图。程序入口R2减1个

48、月天数 够减?下个月为闰月?减去闰月天数月加1月份为当前正在减的月份的前一个月的最后一天月份为当前正在减的月份,号数为R2中的值R2=0?R3=0?R2=R2+R3R3=0YYYYNNNN图4-4 推算阴历日期的程序流程图第五章 测试结果设计完成后,给系统上电,液晶显示屏显示结果如图5-1所示。河南工业职业技术学院 电气0701班 王金海毕业设计SOLAR 2000/01/01LUNAR 2095/11/2500:00:00 SUN 闹铃 关闰月 00:00滚动显示图5-1 液晶显示屏的显示结果调节10K电位器R4可调整液晶显示屏的亮度。调整到适宜亮度后,按控制按钮,光标会从阳历年位开始闪烁,进入设定调整状态。此时按加按钮,当前数字就可改变。按一次,数字加1;假设长按,那么数字连续加。此时,调整的位一直在闪烁,直到再次按光标移动控制位,光标跳到下一位闪烁。调整顺序依次为:阳历年、月、日,阴历年、月、日,时、分、秒,闹铃时、分。当全部参数调整完毕后,按退出按钮,光标停止闪烁,退出设定调整状态;当再次按下此按钮,闹铃显示“开;当第三次按下此键,闹铃显示“关。假设当前月为闰月,那么屏幕左下角会显示“闰月;假设不是闰月,那么无显示。调试分为硬件调试和软件调试。硬件调试主要是检测硬件电路是否有短路、断路、虚焊等。DS1302的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论