EDA 交通灯控制器VHDL设计_第1页
EDA 交通灯控制器VHDL设计_第2页
EDA 交通灯控制器VHDL设计_第3页
EDA 交通灯控制器VHDL设计_第4页
EDA 交通灯控制器VHDL设计_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、不得用于商业用途EDA课程设计题目:交通灯控制器VHDL设计专业:通信工程班级:通信082姓名:XXX学号:XXXXXXXX设计要求乐曲硬件演奏电路的VHDL设计要求:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。2、红、绿、黄发光二极管作信号灯,。3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒

2、、25秒计时、显示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。教学提示:1、选择1HZ时钟脉冲作为系统时钟。2、45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。显示结果:设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯,变化规律为:东西绿灯亮,南北红灯亮f东西黄

3、灯亮,南北红灯亮f东西红灯亮、南北绿灯亮f东西红灯亮,南北黄灯亮一东西绿灯亮,南北红灯亮,这样依次循环。南北方向是主干道车道,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间都设为45秒,支干道每次通行时间都设为25秒,时间可设置修改。在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生时钟脉冲的上升沿处。设计方案:可选原理图输入法或VHDL语言,也可以两种方式结合使用。设计报告一、设计题目:交通灯控制器VHDL设计二、设计目标:1、设

4、计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。2、红、绿、黄发光二极管作信号灯,。3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。三、设计原理:设计框图如下页图

5、所示,该交通信号灯控制器由主控电路和计时电路两大部分组成主控电路是一个单进程Moore型有限状态机,通过接收定时器发送的“时间到”信号以及根据S(用来指示支干道是否有车来,1表示支干道没有车来,0支干道有车来)的值进行状态的切换,实现对十字路口东西、南北两个方向的红、黄、绿灯状态的控制。具体控制过程为:当s=1时,支干道没有车来,主干道处于常允许通行的状态,此时主干道亮绿灯,支干道亮红灯;当$=0时,支干道有车来,即主、支干道均有车,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,且在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。变化规律为:东西绿灯亮,南北红灯亮*东西

6、黄灯亮,南北红灯亮f东西红灯亮、南北绿灯亮f东西红灯亮,南北黄灯亮f东西绿灯亮,南北红灯亮,这样依次循环。由于主、支干道有45秒和25秒得放行时间,以及每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,所以设计45秒、25秒和5秒计时电路,均采用倒计时。考虑到显示的方便,每个计时电路又由计数器和码型转换电路组成。码型转换电路是把对应的二进制码直接转换成数码管显示时的码字,采用数码管的静态显示,这样可简化模块设计。cnt45dCLKH6.0RSTL6.0ENs45instOUTPUTOUTPUTgHsout,h6.0gLsouth6.0i常RST1書INPUTcnt5dCLKcq6.0RS

7、Ts5ENinst2CLKc45RSTc25sc545s45c525s25ledsn5.0s545s525四、设计内容:1、计时电路OUTPUTbUTPUTysouth60ledmc5.Ocnt5dCLKcq6.0RSTs5ENinst3cnt25dCLKH6.0RSTL6.0ENs25inst4设计原理图OUTPUTOUTPUTOUTPUT由于45秒、25秒和5秒计时电路设计原理一致,仅介绍45秒计时电路。yeast60gHeast6.0gLeast6.045秒计时电路内部结构图上图为45秒计时电路内部结构图,很显然是由45进制计数器和码型转换电路组成。选择1HZ时钟脉冲作为系统时钟,可实现

8、45s计时。计数输出经码型转换电路后分成十位和个位,送至数码管显示。2、主控电路原状态目的状态转换条件状态输出s0s0s=1ledsn二100001;c45二T;c25二O;c545二O;c52,s1s=1,且s45=1s1s1s545=0ledsn二010001;c45二O;c25二O;c545二T;c52,s2s545=1s2s2s25=0Iedsn=001100;c45=0;c25=1;c545310182634425033KITX辽X10K33:6391X10291:63删(91X102艸艸的丿屮甲艸艸甲艸F艸闻艸艸叭丿艸.呐岬艸/艸屮甲岬艸艸厂91:63W1021(WKal册102冋

9、79熾91X91X6X6XX.63bWqi艸耶艸F艸/艸艸艸/艸岬艸耶艸岬艸艸艸艸丿艸冲艸艸屮Woi-艸叭艸/艸艸艸/艸岬艸艸屮训艸6300(10Mffl9is=0,支干道有车来,即主、支干道均有车,两者交替允许通行s=l,支干道没有车来,主干道处于常允许通行的状态六、总结:本设计是综合应用状态机的设计性实验,读懂设计目标后,认真分析主干道和乡道的状态转移同样也十分重要。实践中总结出,先画出状态转换图对状态机的设计有着巨大的帮助作用。仿真后发现设计方案可行,满足设计目标。通过这次设计实验,使我加深对状态机的理解和应用,熟悉EDA设计软件Quartusl加强独立完成电子设计的能力。七、附录1、码

10、型转换t2bcd.vhdlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityt2bcdisport(bins:instd_logic_vector(5downto0);H,L:outstd_logic_vector(6downto0);end;architecturebehavoft2bcdisbeginprocess(bins)begincasebinsiswhen000001=H=0111111;LH=0111111;L0ueq、OIIOOII、UHJOOIIOI、OIIOOII、UHJII

11、OIOI、OIIOOII、UHJOIOIOI、OIIOOII、UHJIOOIOI、OIIOOII、UHJOOOIOI、SI00I、uHJS00I、ISOOI、UHJOIIOOI、SI00I、uHJI0I00I、ISOOI、UHJOOIOOI、SI00I、uHJII000I、ISOOI、UHJOIOOOI、IS00I、uHJI0000I、Is001、UHJoooooI、IS00I、uHJSII0、Is001、UHJOIs0、二OIIOI、UHJIOSO、二OIIOI、UHJOOSO、二0II0I、uHJII0II0、二OIIOI、UHJOIOIIO、二0II0I、uHJI00II0、二0II0I

12、、uHJ000II0、uequequequequequequequequequequequequequequequequequequequequeq-pueAprilsUH-uoosnIeuos】9d】0工pqLd寸4UO粘珮花泥黑v-#5-!pue口-!pue口-!PUQ-二s+-noy、oOIIOI、LIg上-orznoo二丄gLIgueq+-oIg=ueq+-=NgLOOIIOrLIgueq+-上SH=ueq+-也已pue+-UOAe=o=ulbjoeq-(00+-u0pg)JO+-oeAoop+-s二gelq-sJeA(Ng厂aym)sseoojdulbjoeqsig寸+-UQ40Ae

13、qQqeJn+-Qe+-lqQJe-pue-(oop+-sq-noEOO-(00+-u0pg)JO+-oeAoop+-sq-noooFop+-SUHNM】swrD)+-Jods-g寸+-UQAcmeesnI.2OJelnlnoojoj4-ou-qojeesejpueAprilsUHAIUOesnleuosjedJOH二I.peusunoop+-s.00.2Qsn二I.寸911Jop+-s.00.2Qsn.2AJeJq-I仅供个人参考不得用于商业用途3、主控电路ctrl.vhdlibraryieee;useieee.std_logic_1164.all;entityctrlisport(CLK,

14、RST,s,s45,s25,s545,s525:instd_logic;c45,c25,c545,c525:outstd_logic;ledsn:outstd_logic_vector(5downto0);endctrl;architecturebody_ctrlofctrlistypesxis(s0,s1,s2,s3);signalcurrent_state:sx;beginprocess(RST,CLK)beginifRST=1thencurrent_state=s0;ledsn=100001;c45=1;c25=0;c545=0;c525ifs=1thencurrent_state=s0

15、;elsifs45=1thencurrent_state=s1;elsecurrent_state=s0;endif;ledsn=100001;c45=1;c25=0;c545=0;c525ifs545=1thencurrent_state=s2;elsecurrent_state=s1;endif;ledsn=010001;c45=0;c25=0;c545=1;c525ifs25=1thencurrent_state=s3;elsecurrent_state=s2;endif;ledsn=001100;c45=0;c25=1;c545=0;c525ifs525=1thencurrent_state=s0;elsecurrent_state=s3;endif;ledsn=001010;c45=0;c25=0;c545=0;c525=1;endcase;endif;endprocess;endbody_ctrl;仅供个人参考不得用于商业用途仅供个人用于学习、研究;不得用于商业用途。Forpersonaluseonlyinstudyandresearch;notforcommercialuse.Nurfurden

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论