VHDL序列检测器设计1110010_第1页
VHDL序列检测器设计1110010_第2页
VHDL序列检测器设计1110010_第3页
VHDL序列检测器设计1110010_第4页
VHDL序列检测器设计1110010_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

VHDL数字系统设计与测试作业序列检测器设计学院:通信工程学院学号:1101120012姓名:汤清

设计要求:用状态机设计序列检测器(1110010)。设计功能:考虑一个序列检测器,检测的序列流为“1110010”,当输入信号依次为“1110010”时输入一个脉冲,否则输入为低电平。设计思路:序列检测器是一种同步时序电路,它用于搜索,检测输入的二进制代码串中是否出现指定的代码序列,1110010序列检测器的原理图如下:首先,本实验要从一串二进制编码中检测出一个已预置的七位二进制码1110010,每增加一位相当于增加一个状态,再加上一个初始态,用八个状态可以实现,其Moore型原始状态转移图如下:根据状态转移图可以得出Moore型原始状态转移表为:源代码:libraryieee;useieee.std_logic_1164.all;entitycheckisport(din:instd_logic;clk,clr:instd_logic;z:bufferstd_logic);endcheck;architecturearch_checkofcheckistypeStateTypeis(s1,s2,s3,s4,s5,s6,s7,s8);signalpresent_state,next_state:StateType;beginstate_comb:process(present_state,din)begincasepresent_stateiswhens1=>z<='0';ifdin='1'thennext_state<=s2;elsenext_state<=s1;endif;whens2=>z<='0';ifdin='1'thennext_state<=s3;elsenext_state<=s2;endif;whens3=>z<='0';ifdin='1'thennext_state<=s4;elsenext_state<=s1;endif;whens4=>z<='0';ifdin='1'thennext_state<=s4;elsenext_state<=s5;endif;whens5=>z<='0';ifdin='1'thennext_state<=s2;elsenext_state<=s6;endif;whens6=>z<='0';ifdin='1'thennext_state<=s7;elsenext_state<=s1;endif;whens7=>z<='0';ifdin='1'thennext_state<=s3;elsenext_state<=s8;endif;whens8=>z<='1';ifdin='1'thennext_state<=s2;elsenext_state<=s1;endif;endcase;endprocessstate_comb;state_clocked:process(clk,clr)beginif(clr='1')thenpresent_state<=s1;elsif(clk'eventandclk='1')thenpresent_sta

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论