第1章 EDA技术概述_第1页
第1章 EDA技术概述_第2页
第1章 EDA技术概述_第3页
第1章 EDA技术概述_第4页
第1章 EDA技术概述_第5页
已阅读5页,还剩47页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1课程要求学时数:60学时(必修)成绩:出勤+作业+上机+期末成绩3次不到取消考试资格请假需在上课之前,假条需辅导员签字本课程安排:学时数:60学时(课堂教学32学时,实验28学时)课堂教学内容:第一章、EDA技术概况第二章、VHDL硬件描述语言第三章、MAX+PLUSⅡ是用指南第四章、QuartusⅡ使用指南第五章、常用数字电路的设计第六章、数字系统的设计

实验教学内容:分7次共28学时。掌握EDA开发系统MAX+PLUSII,从简单的电路设计入手,到最后能够设计、并实现比较复杂的电子系统。培养学生利用EDA技术设计电子系统的实际动手能力。教学目的:了解一类可编程逻辑器件,掌握一门硬件描述语言,熟悉使用一种EDA设计工具,设计自己的芯片。注重实践锻炼,培养综合设计能力。参考资料《数字电路EDA技术及应用》谭会生、张昌凡编著西安电子科技大学出版社《VHDL硬件描述语言与数字逻辑电路设计》

侯伯亨顾新等编著西安电子科技大学出版社《CPLD/FPGA的开发和应用》徐光辉等编著电子工业出版社出版基础课程:《数字电路》、《微机原理与应用》、《C语言》EDA技术的相关网址:

……第1章EDA技术概述

1.1EDA技术及其发展1.2传统设计方法与EDA方法的区别1.3EDA工程的设计流程1.1EDA技术及其发展EDA技术的涵义什么是EDA?

ElectronicDesignAutomation,即电子设计自动化。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

手工设计:设计者+纸+笔自动设计:设计者+EDA技术EDA技术的内涵从广义的角度包含了:半导体工艺设计自动化;可编程器件设计自动化;电子系统设计自动化;印刷电路板设计自动化;仿真与测试、故障诊断自动化;形式验证自动化。EDA技术的范畴IC版图设计PLD设计电路设计PCB设计模拟电路数字电路混合电路设计输入逻辑综合仿真编程下载本课程内容!EDA(ElectronicDesignAutomation,电子设计自动化)是在计算机的辅助下完成电子产品设计的一种先进的硬件设计技术!是立足于计算机工作平台开发出来的一整套先进的设计电子系统的软件工具。计算机并口器件编程接口PCBBoardPLD编程目标文件EDA技术的狭义定义:

以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程器件的开发软件及实验开发系统为设计工具,自动完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门多学科融合的新技术。

应用介绍:数字电视传输复用系统中的数字电视复用器应用介绍:雷达系统中的信号处理与时序控制器EDA技术的主要内容实现载体:大规模可编程逻辑器件(PLD__ProgrammableLogicDevice)描述方式:硬件描述语言(HDL__HarddescriptionLanguage)VHDL、VerilogHDL等设计工具:开发软件、开发系统硬件验证:实验开发系统FPGA__FieldProgrammableGatesArrayCPLD__ComplexProgrammableLogicDevice

主流公司:Xilinx、Altera、LatticeFPGA/CPLD显著优点:开发周期短、产品上市速度快、投资风险小、市场适应能力强、硬件修改升级方便。1.大规模可编程逻辑器件

三类器件的主要性能指标比较VHDL:IEEE标准,系统级抽象描述能力较强。

Verilog:IEEE标准,门级开关电路描述能力较强。

ABEL:系统级抽象描述能力差,适合于门级电路描述。2.硬件描述语言(HDL__HardwareDescriptionLanguage)

系统级(SystemLevel)

算法级(AlgorithmicLevel)

寄存器传输级(RegisterTransferLevel)

门级(GateLevel)

电路级(CircuitLevel)VHDLVerilogHDL

硬件描述语言(HDL__HardDescriptionLanguage)具有抽象的系统描述能力,是现代EDA技术的切入点,是设计者与设计自动化工具之间的桥梁。可实现系统级的仿真和逻辑综合。据此实现大规模集成电路的设计,可极大缩短Time-to-Market时间。硬件描述语言的作用:EDA开发工具分为:集成化的开发系统:特定功能的开发软件:综合软件仿真软件3.软件开发工具Altera公司:QuartusⅡ、MaxplusⅡ系列Xilinx公司:ISE、Foundation、

Aillance系列Lattice公司:ispEXPERT系列

ispLEVER系列集成化的开发系统综合类:

Synplicity公司的Synplify/SynplifyProSynopsys公司的FPGAexpress、FPGAcompilerⅡ、

DesignCompilerMentor公司的

LeonardoSpectrum仿真类:

ModelTech公司的ModelsimAldec公司的

ActiveHDLCadence公司的NC-Verilog、NC-VHDL、

NC-SIM特定功能的开发软件

4.实验开发系统

EDA技术的发展史EDA技术的发展分为三个阶段20世纪70年代

20世纪80年代

20世纪90年代

EDA技术在进入21世纪后,得到了更大的发展,突出表现在以下几个方面:(1)在FPGA上实现DSP(数字信号处理)应用成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化和发展。基于FPGA的DSP技术,为高速数字信号处理算法提供了实现途径。(2)嵌入式处理器软核的成熟,使得SOPC(SystemOnaProgrammableChip)步入大规模应用阶段,在一片FPGA上实现一个完备的数字处理系统成为可能。(3)在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。(4)电子技术领域全方位融入EDA技术,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化,如软件无线电技术的崛起、模拟电路系统硬件描述语言的表达和设计的标准化、系统可编程模拟器件的出现、数字信号处理和图像处理的全硬件实现方案的普遍接受以及软硬件技术的进一步融合等。(5)EDA使得电子领域各学科的界限更加模糊,更加互为包容,如模拟与数字、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。(6)基于EDA的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块。软硬IP(IntellectualProperty)核在电子行业的产业领域广泛应用。(7)系统级、行为验证级硬件描述语言的出现(如SystemC),使复杂电子系统的设计和验证趋于简单。1.2传统设计方法与EDA方法的区别传统的数字系统设计方法是自下而上的设计方法,是以固定功能元件为基础,基于电路板的设计方法,主要设计文件是电路原理图。设计一个电子秒表电路,使之完成以下功能:按0.01s的步长进行计时;具有异步清零和启动/停止计数功能;并用数码管显示其秒高位、秒低位,百分秒高位、百分秒低位。为便于显示,秒和百分秒信号均采用BCD码计数方式。问题的提出(实例)解决方案1——传统的数字系统设计方法1.根据设计要求划分功能模块;2.确定输入和输出的关系,画出真值表;3.由真值表写出逻辑表达式;4.利用公式或卡诺图进行人工化简;5.根据化简后的逻辑表达式画出电路原理图;6.在面包板上进行实验,验证电路的正确性;7.若无错误,再在透明薄膜上用贴图符号贴PCB图;8.检查后送制板厂制板;9.对PCB板进行安装、调试,若有大的错误,修改设计,重复以上过程,重新制板。搭积木的方式!基于电路板的设计方法——采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能传统的数字系统设计方法的

缺点设计周期长,灵活性差,耗时耗力,效率低下。设计依赖于设计师的经验。设计后期的仿真不易实现。调试复杂,容易出错;设计依赖于现有的通用元器件。芯片种类多,数量大,受市场的限制;产品体积大。解决方案2——现代的数字系统设计方法基于芯片的设计方法——采用PLD(可编程逻辑器件),利用EDA开发工具,通过芯片设计来实现系统功能。EDA软件空白PLD+数字系统编程现代数字系统的设计采用EDA方法。EDA方法是一种自上而下(Top-Down)的设计方法。其方案验证与设计、系统逻辑综合、布局布线、性能仿真、器件编程等均由EDA工具一体化完成。由于整个设计是从顶层开始的,设计中可逐层描述,逐层仿真,保证满足系统指标。结合应用领域的具体要求,及时调整设计方案,进行性能优化,从而保证了设计的正确性,缩短了设计周期。EDA技术为电子系统设计带来了这样的变化:(1)设计效率提高,设计周期缩短;(2)设计质量提高;(3)设计成本降低;(4)能更充分地发挥设计人员的创造性;(5)设计成果的重用性大大提高,省去了不必要的重复劳动。传统方法与EDA设计方法的比较如下表所示。HDL语言编写的程序电路原理图主要设计文件系统硬件设计早期系统硬件设计后期仿真时期自上而下自下而上设计方法芯片电路板设计对象PLD通用型器件采用器件EDA方法传统方法特点1.3EDA工程的设计流程设计输入1.图形输入图形输入通常包括原理图输入、状态图输入和波形图输入等方法。最常用的是原理图输入方法。2.文本输入文本输入是采用硬件描述语言,如VHDL或Verilog,进行编辑输入。这种方式与传统的计算机软件语言编辑输入基本一致。任何支持硬件描述语言的EDA工具都支持文本方式的编辑和编译。逻辑综合和优化所谓逻辑综合,就是将电路的高级语言描述(如HDL、原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。可见,综合过程是将软件转化为硬件电路的关键步骤,是文字描述与硬件实现的一座桥梁。适配

所谓适配也称结构综合,就是将由综合器产生的网表文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件,如JEDEC、Jam格式的文件。

仿真设计过程中的仿真有三种,它们是行为仿真、功能仿真和时序仿真。所谓行为仿真,就是将VHDL设计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论