太阳能电池最大功率跟踪电路的设计 毕业论文.doc_第1页
太阳能电池最大功率跟踪电路的设计 毕业论文.doc_第2页
太阳能电池最大功率跟踪电路的设计 毕业论文.doc_第3页
太阳能电池最大功率跟踪电路的设计 毕业论文.doc_第4页
太阳能电池最大功率跟踪电路的设计 毕业论文.doc_第5页
已阅读5页,还剩30页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

太阳能电池最大功率跟踪电路的设计目 录1. 绪论 11.1 太阳能光伏并网发电的意义 11.1.1 能源现状 11.1.2 发展光伏发电系统的意义 11.2 最大功率跟踪的意义 11.2.1 光伏发电系统的结构及工作原理 11.2.2 太阳能电池的输出特性及最大功率跟踪的意义 42. 太阳能电池最大功率跟踪电路的设计 52.1 最大功率跟踪(mppt)电路的组成 52.1.1 组成原理 52.1.2 组成框图 62.2 功率调整模块电路 72.2.1 dc/dc 变换器电路分析 72.2.2 用于 mppt 的boostdc/dc 变换器的电路设计 102.2.3 用于mppt 的数据采集电路的设计 112.3 数据处理模块电路 162.3.1 基于fpga 的数据接口设计 162.3.2 基于dsp 的控制器设计 182.4 通信模块电路 202.4.1 通信协议的选择 202.4.2 通讯芯片选择及电路设计 213 太阳能电池最大功率跟踪算法的研究 213.1 fpga 程序设计 213.1.1 编程工具及所用语言 223.1.2 系统所用程序设计 223.2 dsp 算法及控制逻辑 273.2.1 常用最大功率跟踪算法比较 273.2.2 最大功率点确认及算法原理 293.2.3 本系统最大功率跟踪软件流程 304 总结 31参考文献 33附录34英文资料 37中文翻译 43致谢471 绪论1.1 太阳能光伏并网发电的意义1.1.1 能源现状能源是人类社会生存和发展的动力源泉。从原始社会的钻木取火到近现代的化石能源以及核能、地热能、潮汐能、风能、太阳能等各种新能源的应用无不闪现着人类的智慧之光。然而,随着人类对能源需求的日益增加,化石能源的储量正日趋枯竭。石油危机以来,常规能源频频告急。在中国,这一情况也不容乐观,据官方统计,按目前的消耗速度,中国的现有能源储量至多可以使用50 年。根据专家预测,到2020 年,中国石油消费量将突破4 亿吨,其中一半以上将依赖进口。化石能源资源的有限性和环境保护压力的增加,使世界上许多国家加强了对绿色能源和可再生能源技术发展的大力支持,太阳能作为绿色能源,具有无污染、无噪音,取之不尽,用之不竭等特点,越来越受到人们的关注。目前,世界光伏产业正以31.2%的平均年增长率高速发展,是全球增民率最高的产业,己成为当今世界最受关注、增长幅度最快的能源产业之一1。1.1.2 发展光伏发电系统的意义我国西北地区土地辽阔,人烟稀少,交通不便,燃料供应紧张且价格极高,常规电网难以筱盖,但太阳能资源极为丰富1(如图11 所示).从技术经济角度分析,当输出电功率与送电距离之比小于100 瓦/公里时,用太阳电池电源供电要比常规电源供电经济得多,为此,我国在2002-2003 年度推出了西部光伏照明工程,在西北地区大力发展光伏发电系统以提高西部地区人民的生活水平,这对于贯彻西部大开发战略具有重要的政治意义和经济意义。1.2 最大功率跟踪(mppt)的意义1.2.1 光伏发电系统的结构及工作原理图1-2 为目前光伏发电系统典型示意图12。该图包含了光伏发电系统的两大类: 独立光伏发电系统(stand-alone pv system) 和并网光伏发电系统 (grid-connected pv system ),其相应的组成结构分别见图1-3 和图1-4 所示。图11 中国的太阳能资源图12 光伏发电系统典型示意图图1-3 为独立光伏发电系统的组成结构,系统由光伏阵列、充电控制器(charger),蓄电池组(bat)、正弦波逆变器(dc/ac)和系统监控部分等组成,其工作原理是:光伏阵列首先将接收来的太阳辐射能量直接转换成电能,经过充电控制器后直接供直流负载使用,多余的电能以化学能的形式储存在蓄电池中,储存在蓄电池中的能直接供直流负载使用或经过全桥逆变器后变成spwm 波,然后再经过滤波和变压器升压后变成交流220v, 50hz 的正弦电压供给交流负载使用。图1-4 为并网光伏发电系统的组成结构,系统由光伏阵列、功率调整电路、光伏阵列dc/dc变换器交流负载dc/ac变换器功率开关display dsp控制器pc充电控制器蓄电池组直流负载udcudc图1-3 独立光伏发电系统的组成结构正弦波逆变器(dc/ac)和系统监控部分等组成,其工作原理是:光伏阵列将接收来的太阳辐射能量经过高频直流变换后变成高压直流电,然后经过逆变器逆变后向电网输出与电网电压同频同相的正弦交流电流。图1-4 并网光伏发电系统的组成结构由上述两种结构可以看出,系统的关键部分在于最大功率跟踪部分和逆变器控制部分,是电力电子技术在光伏系统中应用的直接体现。在光电池效率一定的条件下整个系统的工作性能完全取决于它们。所以本课题讨论的是一种全新的mppt 控制模式,采用了模块化的设计,分散采集、集中控制,增加了系统的灵活性和协调性,充分利用了资源。1.2.2 太阳能电池的输出特性及最大功率跟踪(mppt)的意义光伏发电存在一个很大的问题是光伏电池的输出特性受外界环境影响大,温度和光照辐射强度的变化都可以导致输出特性发生较大的变化;另外,光伏电池转换效率低且价格昂贵,初期投入较大。因此,充分利用光伏电池所产生的能量是光伏发电系统的基木要求。图1-5a 给出了光伏电池的等效电路,图1-5b 为光伏电池在不同温度、辐射强度下的i,v 特性,图1-5c 为光伏电池在不同温度、辐射强度下的p,v 特性。光伏电池的输出特性方程3为:exp ( ) 1 slg os sshi i i q v ir v irakt r + = + 公式(1-1)式中3 exp go 1 1os orr ri i t qet bk t t = ( 25) 1000 lg scr i i = i + k t i,v光伏电池的输出电流和输出电压scr i 标准测试条件下电池的短路电流 a,b常数ios暗饱和电流 t光伏电池的表面温度 k波耳兹曼常数i k 短_路电流的温度系数 q单位电荷 辐射强度go e 硅的禁带宽度 lg i 光电流 r t 参考温度or i r t 下的暗饱和电流 sh r 电池的并联电阻 s r 电池的串联电阻图1-5a图1-5b 图1-5c从图1-5b、图1-5c 可见,光伏电池的温度主要影响开路电压,辐射强度主要影响短路电流。另外,在一定的温度和辐射条件下,光伏电池具有唯一的最大功率输出点。由于实际使用中不能保证负载总是工作在最大功率点上,因此需要在负载和光伏电池之间加入mppt 装置,以保证光伏电池始终输出最大功率。2 太阳能电池最大功率跟踪电路的设计2.1 最大功率跟踪(mppt)电路的组成2.1.1 组成原理太阳能电池(solar cell )有时也常被称作太阳电池,通常由半导体材料制成,其作用是把太阳能直接转换为直流形式的电能,是光伏阵列中光电转换的最小单元,由于单个太阳电池的功率极小。因此一般不单独作为电源使用。实际应用中是将许多单个太阳电池经过串、并联组合井进行封装后构成太阳电池组件使用。通常光伏电站都由很多这样的光伏阵列组成,而我们必须对每个光伏阵列都进行数据处理和mppt 控制,为了使系统更灵活这里采用模块化的设计方法,如果我们为每个采集模块都配一个数据处理模块,增加了系统通讯监控难度,浪费了硬件资源,所以采用可编程器件来增加接口将采集的数据预处理后集中送往dsp 器件来处理,并完成与上位机的通讯。整个系统分为:前端功率调整模块、数据处理模块以及通信模块。2.1.2 组成框图如图2-1 所示为前端功率调整模块包括:主回路dc/dc 变换器,数据采集电路,pwm 驱动电路以及电平转换匹配电路。主回路dc/dc 变换器主要是mppt 的执行电路单元,它负责调整光伏阵列的输出电压使其工作在最大功率点(maximumpower point,简记为mpp)。数据采集电路负责采集电压信号和电流信号,经由电平转换匹配电路送与数据处理模块进行数据处理。图2-1 前端功率调整模块框图图2-2 所示的为系统数据集中处理单元,包括由可编程器件组成的数据接口,高速缓冲器件以及dsp 器件处理前端采集来的实时数据,并完成与上位机的通讯,便于监控。dspfpga 结构最大的特点是结构灵活,有较强的通用性,适于模块化设计,从而能够提高算法效率;同时其开发周期较短,系统易于维护和扩展,适合于实时信号处理。在实时信号处理系统中,低层的信号预处理算法处理的数据量大,对处理速度的要求高,但运算结构相对比较简单,适于用fpga进行硬件实现,这样能同时兼顾速度及灵活性。高层处理算法的特点是所处理的数据量较低层算法少,但算法的控制结构复杂,适于用运算速度高、寻址方式灵活、通信机制强大的dsp 芯片来实现。同时,由于fpga 具有很强大的可配置性,i/o 资源相当丰富低功耗的特点,节省了硬件资源,增加了系统的抗干扰能力。图2-2 数据处理模块框图2.2 功率调整模块电路2.2.1 dc/dc 变换器电路分析一般而言传统变换器有三种拓扑电路:buck,boost,buck-boost。现分别针对这三种拓扑进行分析比较4。(1) buck 拓扑电路如图2-3 所示,buck 变换器是一种降压斩波器,功率传输由开关管t 的通断进行控制。若占空比为d,输出电压o u ,输入电压为in u ,则o u = d in u ,可以看出,输出电压o u 总是低于太阳能电池阵列输入电压in u 。因此buck 电路一般应用在高压输入,低压输出的场合。图2-3 buck 拓扑(2) boost 拓扑电路图2-4 所示的是boost 变换器电路拓扑结构。boost 变换器是一种升压斩波器,所用电力电子器件及元件和buck 型拓扑基本相同,仅电路拓扑结构不同。其功率传输也由开关管t 的通断来控制。设占空比位d,输出电压o u ,输入电压为in u ,则有:uo =uin (1 d) 公式(2-1)所以boost 变换器是输出电压高于太阳能电池阵列输入电压的单管不隔离直流变换器,一般应用在低压输入,高压输出的场合。(3) buck-boost 拓扑电路设占空比为d,输出电压为o u ,输入电压为in u ,1inou dud=,因此buck-boost变换器是一种输出电压可以高于或低于太阳能电池阵列的输入电压。其主电路与buck,boost 变换器的元器件基本相同,但输出电压的极性与输入电压相反。由于纹波电流的影响,相对于buck,boost 电路次拓扑的工作效率低。与buck 电路不同的是:需要额外的二极管1 d 防止功率倒流回太阳能电池阵列。如图2-5 所示。由于buck 电路的输人端工作在断续状态下,若不加入储能电容,则光伏电池阵列的工作时断时续,不能处于最佳工作状态。加入了储能电容后,buck 电路功率开关断开时光伏电池阵列对储能电容充电,使光伏电池阵列始终处于发电状态,此时调节buck 电路占空比才能有效跟踪最大功率点,因此储能电容对于利用buck 电路实现mppt 功能是必不可少的。然而在大功率情况下,储能电容始终处于大电流充放电状态,对其可靠工作不利,同时由于储能电容通常为电解电容,使buck 电路无法工作在更高的频率下,增大了mppt 装置的体积,使整个系统变得笨重。相比之下,boost 变换器可以始终工作在输入电流连续的状态下,只要输入电感足够大,则电感上的纹波电流小到接近平滑的直流电流,因此只需加入容量较小的无感电容甚至不加电容,避免了加电容带来的种种弊端。同时,boost 电路非常简单,且由于功率开关管一端接地,其驭动电路设计更为方便。boost 电路的不足之处是其输入端电压较低,在同样功率下,输入电流较大,因而会带来较大的线路损耗,但boost 电路具有独特的优点,仍然是一种吸引人的方案。图2-4 boost 拓扑图2-5 buck-boost 拓扑2.2.2 用于mppt 的boostdc/dc 变换器的电路设计(1)电路原理图2-4 为boost 变换器的基本拓扑示意假设电路中所有元件均为理想元件,电路的输入到输出的过程无功率损耗则有uo =uin (1 d)。本课题设计的mppt系统由于是应用与并网光伏系统的,后级电路是逆变器电路,对本级的输出电压有最低电压的要求,如果输出电压o u 过低,则无法达到逆变后的并网要求,因此存在一个d的下限值min d ,在min d d 的情况下,经变换器后的输出才能满足逆变器逆变后的并网要求。该值可以由以下的方法求出。设输入端电压为光伏阵列的开路电压oc v ,则由公式 (2-1)可以得出:min (1 ) o oc v =v d 公式 (2-2)由上式可以得出:min 1 oc o d = v v 公式 (2-3)当d在min d 100的区间内变化时,boost 电路输入输出端的电压应该满足公式 (2-1),在o v 不变的情况下,改变d将改变与boost 变换器输入端相连的光伏阵列两端的电压。由此可得:(1 ) in o v =v d 公式 (2-4)因此,boost 电路的输入端电压in v 可以在0 oc v 之间变化。只要光伏阵列具有合适的开路电压,通过改变变换器的d,就能够找到与光伏电池最大功率点对应的in v 值,此时光伏电池输出功率最大。另外boost 变换器如果占空比过大而输出滤波电容较小,会产生很大脉动的电流,对后级电路(逆变电路)不利,我们可以参考最大负载电流条件下的输出滤波电容f c ,由下式给出:( ) om o info oi v vcfv v公式 (2-5)式中 f c 滤波电容容量 om i 可能出现的最大负载电流f 开关频率 vo开关导通期间f c 上的电压降(2)电路设计dc/dc 变换器中很重要的部分就是功率器件及其驱动的选择。功率mosfet是一种多子导电的单极型电压控制器件,具有开关速度快、高频特性好、热稳定性优良、驱动电路简单、驱动功率小、安全工作区宽、无二次击穿问题等显著优点。目前,功率mosfet 的指标达到耐压600v、电流70a、工作频率100khz 的水平。目前市面上光伏阵列最大开路电压(max. opencircuit voltage)一般在450v左右,太阳能电池阵列功率一般为4000w 左右,所以我们要保证mppt 范围(mpptrange)为220v-450v 之间 ,则mosfet 理论上所能承受的最大电流为20a 左右。所以我选择了一款美国ir 公司生产的高速电源开关型mosfet,irfp460,它是一个开关模式电源供应器5,其最大能承受的dss v 为500v, d i max 为20a,最高二极管恢复速率dv dt 为3.8v ns 。 因为主电路均为高电压、大电流情况,而控制单元为弱电电路,所以它们之间必须采取光电隔离措施,以提高系统抗干扰措施,可采用带光电隔离的mosfet 驱动芯片tlp2506。tlp250 由日本东芝公司生产,其内置光耦的隔离电压可达2500v,上升和下降时间均小于0.5s,输出电流最大驱动能力达1.5a,可直接驱动50a/1200v 以内的功率mosfet 和igbt。选用tlp250 光耦既保证了功率驱动电路与pwm 脉宽调制电路的可靠隔离,又具备了直接驱动mosfet 的能力,使驱动电路特别简单。单元电路图如图2-6 所示:包括输入滤波电容,电流采样电阻,功率开关器件及其驱动,电感。2.2.3 用于mppt 的数据采集电路的设计包括两种信号的数据采集:电压信号,电流信号。(1) 电压信号采集电路的设计由于干线电压很高,采用分压隔离测量的方法来进行测量。为了实现测量电路与主电路的隔离,光伏阵列的直流母线电压检测电路采用了线性度为图2-6 dc/dc 变换器电路0.01%的光耦loc110,一方面实现了检测电路和直流电压的电气隔离;另一方面光耦loc110 的线性工作范围较宽,线性度高,并且集成在一个封装内,受外界环境的干扰较小,因此,两个光耦特性基本相同,具有很好的一致性,能够满足输入电压和输出电压在较大范围内均能保持线性关系。所用运算放大器采用宽输入量程的放大器lm358 以及高输入阻抗和压摆的采用结型场效应管技术(jfet)输入的运算放大器lm353。首先利用电阻网络将待测电压信号分压到光耦的线性范围内,同时充分利用光耦双输出的特点实现光耦后级输出的反馈,即i1 通过r1 转换成电压信号。由于光耦输出为电流,后级采用lm353 来实现i/v 转换,经滤波后送与a/d 转换器。具体单元电路如下:定义流过发光二极管的电流为i f ,输入电压为vin,输出电压为vo,k1 = i1 i f为伺服增益, 2 2 f k = i i 为前向增益, 3 2 1 k = k k 为传输增益,则稳定时有:o 2 2 f 2 2 v = i r = i k r 公式 (2-6)in 1 1 f 1 1 v = i r = i k r 公式 (2-7)由图2-7 可以得出: in d 5 ( 3 4 5 ) v v r r r r + = + + 公式 (2-8)则 ( ) o in 3 2 1 d 3 2 5 3 4 5 1 v v k r r v k r r r r r r + = = + + 公式 (2-9)为保证光耦工作在其线性范围内,必须根据实际需要调整图2-7 中3 r , 4 r ,5 r 的阻值。(2) 电流采样电路的设计电流采样电路电流传感器进行测量,la25-np 是一款1000:1 的霍尔电流传感器,其采用闭环补偿的方式来提高测量精度,前级(高压侧)和次级(低压侧)具有良好的电气隔离,输入与输出具有很好的线性关系。由于霍尔元件输出是若电流信号,因此必须将该电流信号转换成电压信号并且调理到a/d 转换器的输入范围内,利用高精电阻rm 将霍尔元件输出的弱电流信号转换成电压信号然后利用lm353 进行放大处理,滤波后送与a/d 转换器进行模数转换。电路图如图2-8。图2-7 电压采样电路根据选定的a/d 转换器的输入量程可以调整r4 的阻值来调节后级放大器的增益,以满足采样需求。设主网络电流为m i ,霍尔传感器输出的电流为o i ,经电阻转换后的电压为i v ,输出至a/d 电压为o v ,则有:m i 1000 o i 公式 (2-10)i v = o i m r 公式 (2-11)3 4 3 43 3( ) ( )1000m mo iv r r v r r i rr r+ + = = 公式 (2-12)(3) a/d 转换器的选择及接口电路的设计数据处理单元需要外围电路简单、体积小,同时允许电源电压变化范围宽的芯片7。美信公司生产的max1240 就是这样一种新型的12 位串行输出模数转换器,它功耗低,转换速度快,外部有8 个管脚,体积小,不需外部时钟电路,也不需外部基准电压,所以外围电路非常简单,很适于应用在嵌入式数据采集系统中。max1240 外围电路如图2-9 所示,图2-9 所示是max1240 最简单的外围配置电路8。其中,1 脚是电源输入端,电源电压范围为2.73.6v。2 脚是模拟信号输入端,输入电压范围是0vvref,1240 可以在9s 内实现将输入信号转换为数字信号。3 脚是关断控制输入端,利用其可实现1240 两种工作模式的切换,图2-8 电流采样电路图2-9 max1420 外围电路将3 脚外接低电平,1240 工作于关断模式,输入电流可减少至10a 以下,处于节能状态;若外接高电平,1240 是标准工作模式,可实现模数转换。管脚4是基准电压,需外接4.7f 的电容,1240 具有内置基准电压,基准值是2.5v。5 脚是接地端。管脚6 是数据输出端,当其由0 翻转为高电平时,表示数据转换完成,可以读数据了。7 脚是片选端,低电平有效。管脚8 是外部读数时钟脉冲输入端,最高频率可达2.1mhz,当数据转换完成,输入外部读数时钟,每个读数时钟脉冲的上升沿读出一位数据,数据读出的顺序是由高位到低位,第一个读数时钟脉冲的下降沿表示数据输出开始,1240 是12 位模数转换器,所以要完整的读出转换数据,至少需要外部输入13 个脉冲。图2-10 所示为其工作时序。图2-10 max1420 工作时序在shdn 1 前提下,令片选有效,同时保持时钟输入端为低电平,大约9s 后,可在sclk 端送入外部时钟脉冲,读出数据。从时序图中可看出,转换数据是在输入脉冲由高电平变成低电平后有效,上升沿读出。当模数转换完成后,数据输出端dout 由低电平翻转为高电平,所以也可通过查询dout 的状态确定转换是否完成,在外部输入13 个脉冲后,数据读取完成,将片选端置高电平。只要令片选再次有效,就可以重新开始一轮新的模数转换和读取过程。数据读取完成后,如果仍然保持片选有效,则dout 端始终输出低电平。为了保证系统的数据读取速度,设计为始终让max1420 处于工作状态(即将max1420 的3 脚上拉,禁止休眠)由fpga 不断的将数据读入缓存等待dsp 读取,这样避免了a/d 转换器件的启动时间,增加了系统数据的实时可靠性。具体电路如图2-11 所示:图2-11 a/d 转换电路2.3 数据处理模块电路2.3.1 基于 fpga 的数据接口设计(1)fpga 器件简介fpga 是英文field programmable gate array 的缩写,即现场可编程门阵列,它是在pal, gal, epld 等可编程器件的基础上发展的产物。它是作为专用集成电路(asic)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服原有可编程器件门电路数有限的缺点9。fpga 采用了逻辑单元阵列lca (logiccell array)这样一个新概念,内部包括可配置逻辑模块clb (configurable logic)、输出输入模块iob (input output block)和内部连线(interconnect)三个部分。fpga的基本特点主要有:1)采用fpga 设计asic 电路,用户不需要投片生产,就能得到合用的芯片。2) fpga 可做其它全定制或半定制asic 电路的中试样片3) fpga 内部有十富的触发器和i/0 引脚。4) fpga 是asic 电路中设计周期最短、开发费用最低、风险最小的器件之一。5) fpga 采用高速cmos 工艺,功耗低,可以与cmos, ttl 电平兼容。可以说,fpga 芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。在本系统中fpga 需要实现以下功能:a/d 转换时序控制,同步接受采集数据进行数据类型转换,数据缓存区的读写控制,以及显示控制。(2)器件选择及外围电路设计。本系统选用altera 公司cyclone 系列的fpga 。其性能对比如表2-110,综合考虑本系统选用ep1c6。ep1c6 具有2 个锁相环,包含5980 个逻辑单元,相当于12 万门的规模,同时还包含了最高频率200mhz,92160bit 的内部ram。该芯片的频率和引脚io 等资源都能很好的满足本系统的要求。芯片采用0.13um 工艺,1.5v 内核供电,功耗低且引脚兼容cmos, ttl 电平,使接口更加容易。表2-1对于fpga 外围电路的设计包括以下三个部分:时钟电路,供电电路,配置电路。fpga 的配置电路这里采用专用配置芯片epcs4 进行配置,利用altera 的byteblaster(mv)并行下载电缆、采用ps 模式的程序下载方式,具体配置电路如图2-12 所示.图2-12 fpga 配置及下载电路主时钟选择50m 有源晶体振荡器。对于fpga 供电电路有两种电压,核电压为1.5v 供电,i/o 口为3.3v 供电。1.5v 电源供应器选用三端可调稳压器lm1117-adj。具体电路如图2-13 所示:图2-13 fpga 供电电路2.3.2 基于dsp 的控制器设计dsp 是digital signal processing(数字信号处理)或digital signal processor(数武汉科技学院2006 届毕业设计(论文)19宇信号处理器)的缩写,这里作为数宇信号处理器来理解。自从德州仪器(ti)在1982 年推出第一个通用可编程dsp 芯片以来,dsp 技术带来了决定数字技术未来的突破性应用11。ti 公司的dsp 产品全部以tms320 系列命名,分为浮点和定点两大类。从理论上讲,虽然浮点dsp 的动态范围比定点dsp 大,且更适合于dsp 的应用场合,但定点运算的dsp 器件成本较低,对存储器的要求也较低,且耗电较省。因此,定点运算的可编程dsp 器件仍是市场上的主流产品12。本系统选用tms320lf2407a 做为处理器。tms320lf2407a 是ti 公司推出的一款32 位定点dsp 控制器,它采用了高性能静态cmos 技术,使得供电电压降为3.3v,减小了控制器的功耗;40mips 的执行速度使得指令周期缩短到25ns(40mhz),从而提高了控制器的实时控制能力;集成了32k 字的闪存(可加密)、2.5k 的ram、500ns 转换时间的ad 转换器,片上事件管理器提供了可以满足各种电机的pwm 接口和io 功能,此外还提供了适用于工业控制领域的一些特殊功能,如看门狗电路、spi、sci 和can 控制器等,从而使它可广泛应用于工业控制领域1314。tms320lf2407a 有8 个带使能16 位脉宽调制pwm(通道),可以直接接mosfet驱动电路,充分利用了资源,且精度高、抗干扰能力强。具有16 位地址总线(address bus)和16 位数据总线(data bus)完全能够满足系统要求。存储器选用cypress 公司的cy7c4235v。cy7c4235v 是高速、低功耗2kx18fifo 存储器,读写周期为lons,具有独立的18 位输入、输出接口和读、写时钟信号,可以实现同步读写操作。cy7c4235v 提供五种状态指示: empty、almostempty、half full、almost full、full,分别代表当前数据存储的深度。其中almostempty 和almost full 为可编程空满状态位,可根据系统的需求对存储进行设定。fifo 的状态信急代表了己采样的点数,当采样点数达到预期的数量时,相应的状态位置位,触发dsp 的外部中断,中止测量过程,由dsp 读取数据进行处理。cy7c4235v 为3.3v 供电接口与tms320lf2407a 和ep1c6 完全兼容。其芯片引脚武汉科技学院2006 届毕业设计(论文)20图如图2-14 所示15。其中0 17 d 数据输入, 0 17 q 数据输出,wen 写使能, ren 读使能,wclk 写时钟,rclk 读时钟,wxo/ hf 半满标志,ef 空标志,ff 满标志,pae可编程空标志, paf 可编程满标志。系统设定fifo 半满状态时触发dsp 中断,由dsp 读取数据进行处理,写时钟来源于fpga,读时钟来源于dsp,系统上电时与dsp 同步复位,即rs 与dsp复位脚相连。数据输入端与fpga 的i/o 口相连,数据输出端接dsp,由dsp 读取数据进行数据处理。图2-14 cy7c4235v 引脚图2.4 通信模块电路2.4.1 通讯协议的选择dsp 与上位机的通讯选用rs-232c 标准。rs-232c 标准是美国eia(电子工业联合会)与bell 等公司一起开发的、于1969 年公布的通信协议,全称是武汉科技学院2006 届毕业设计(论文)21eia-rs-232c。它适于数据传输速率在020000bps 的通信。这个标准对串行通信接口的有关问题,如信号线功能、电特性都作了明确规定。由于通信设备厂商都生产与rs-232c 制式兼容的通信设备,因此,它作为一种标准,目前已在微机通信接口中广泛采用。rs-232c 采用负逻辑,规定+3v+15v 任意电压表示逻辑0(或信号有效),-3v-15v 任意电压表示逻辑1(或信号无效)。2.4.2 通讯芯片选择及电路设计由于dsp 工作电压是3.3v 电平,所以在与上位机通信时必须考虑接口电平的匹配,因此选择美国maxim 公司的max3221 作为通信器件。max3221 是3v 至5.5v 单通道rs232 线驱动器/接收器,其具有自动掉电特点可自动使驱动器失效以节约电源。max3221 包含一个线驱动器一个线接收器和一个带有15kv esd保护的双电荷汞,该器件可满足tia/eia-232-f 要求并在一个异步通信控制器和串行端口连接器之间提供接口电荷汞和四个小型外接电容器,可在单路3v 至5.5v 电源电压下工作16。电路图如图2-15 所示。图2-15 通讯电路3 太阳能电池最大功率跟踪算法的研究3.1 fpga 程序设计武汉科技学院2006 届毕业设计(论文)223.1.1 编程工具及所用语言fpga 采用硬件描述语言vhdl(vhsic hardware description language)来进行程序设计。vhdl 语言具有通用性好,支持面广、重复使用性好、可读性好,具有为描述(从抽象的角度描述电子实体的功能),结构描述(从具体的角度描述电子实体的结构)以及混合描述的特点,其用于描述电子实体的程序既能综合(把抽象的描述自动转化为逻辑图、网表文件)又能模拟仿真(用软件便可以验证设计的正确性)。大大简化了硬件设计任务,提高了设计效率和可靠性17。altera.quartus.ii.是altera 公司的fpga 设计工具,quartusii 设计软件,结合丰富的可用ip 核,提供给设计者无与伦比的性能、易用性和并能显著缩短产品上市时间。quartusii 软件为fpga、cpld 和结构化asic 类似的hardcopystratix 设计提供了丰富的设计环境。目前altera 公司已经推出了quartusii6.0版,主要提升在sopc builder 方面的性能。这里采用quartusii5.1 正式版作为设计编程工具。在本系统中fpga 实现一下功能模块:采样控制模块,缓存写时序控制模块,显示控制模块。3.1.2 系统所用程序设计由于系统有多个采样控制模块这里以其中一个为例,其它采样模块由元件例化得到18。由max1420 的采样转换特点有以下控制流程:设定读数频率为1200khz,则每侦数据包含12 位全部读完的频率为100khz,设定寄存器更新频率为50khz。源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;武汉科技学院2006 届毕业设计(论文)23entity readad is -定义端口port( dout : in std_logic; -接max1420 数据输出端clk: in std_logic; -主频输入d_read: out std_logic_vector(11 downto 0); -并行数据读取sclk,cs: out std_logic); -读数脉冲及转换使能end readad;architecture readad of readad issignal qa1:std_logic_vector(11 downto 0);signal clkrd,clk_cs,data:std_logic;beginprocess(clk) -分频获得读数时钟variable q:integer range 0 to 21;variable ff:std_logic;beginif clkevent and clk=1 thenif q21 then q:=q+1;elseq:=0;ff:=not ff;end if;end if;clkrd=ff;end process;process(clkrd) -分频获得控制时钟variable q:integer range 0 to 12;variable ff:std_logic;武汉科技学院2006 届毕业设计(论文)24beginif clkrd

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论