2013年计算机等级考试三级PC技术上机(7) .doc_第1页
2013年计算机等级考试三级PC技术上机(7) .doc_第2页
2013年计算机等级考试三级PC技术上机(7) .doc_第3页
2013年计算机等级考试三级PC技术上机(7) .doc_第4页
2013年计算机等级考试三级PC技术上机(7) .doc_第5页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2013年计算机等级考试三级pc技术上机(7)请编制程序,其功能是:内存中共有30个字节型数据,找出其中的两个ascii字符串并进行校验。欲寻找ascii字符串由13个字符组成:#(23h),7个ascii字符,*(2ah),2个ascii字符,回车符(0dh),换行符(0ah)。校验方法为:对字符#及字符*之间的7个ascii字符进行异或操作,若异或操作结果的asccii字符表示(异或操作结果高4位的ascii字符表示在前,低4位的ascii字符表示在后)与原字符串中字符*之后的两个ascii字符相同,则将原字符串原样保存;反之,则将原字符串中的所有字符(共13个)均用字符!(21h)代替。例如:内存中有 33h,35h,23h(#,第一个字符串开始),46h,41h,30h,2eh,34h,3dh,31h,2ah(*),32h,31h,0dh,0ah,46h,23h(#,第二个字符串开始),46h,41h,30h,2eh,34h,3dh,30h,2ah(*),32h,31h,0dh,0ah,55h结果为 23h,46h,41h,30h,2eh,34h,3dh,31h,2ah(*),32h,31h(校验正确,该字符串原样保持),0dh,0ah,21h,21h,21h,21h,21h,21h,21h,21h,21h,21h,21h,21h,21h(校验错,整个字符串用字符!代替)。部分程序已给出, 其中原始数据由过程load从文件input1.dat中读入source开始的内存单元中。运算结果要求从result开始存放,由过程save保存到文件output1.dat中。填空begin和end之间已给出的一段源程序使其完整(空白已用横线标出,每行空白一般只需一条指令, 但采用功能相当的多条指令亦可),或删除begin和end之间原有的代码并自行编程来完成要求的功能。对程序必须进行汇编,并与io.obj链接产生prog1.exe执行文件,最终运行程序产生结果(无结果或结果不正确者均不得分)。调试中若发现整个程序中存在错误之处, 请加以修改。试题程序:extrn load:far,save:farn equ 26stac segment stackdb 128 dup(?)stac endsdata segmentsource db n+4 dup(?)result db n dup(0)hh db 2 dup(0)name0 db input1.dat,0name1 db output1.dat,0data endscode segmentassume cs:code,ds:data,ss:stacstart proc farpush dsxor ax,axpush axmov ax,datamov ds,axlea dx,source ;数据区起始地址lea si,name0 ;原始数据文件名mov cx,n+4 ;字节数call load ;从input1.dat中读取数据;*begin*mov si,0mov di,0mov bx,2 ;两个ascii字符串repeat: mov ah,0search: mov al,sourcesiinc sicmp al,#_ searchmov resultdi,alinc disearch1:mov al,sourcesiinc sicmp al,_je asciimov resultdi,alinc dixor ah,aljmp _ascii: mov resultdi,alinc dipush dimov di,0mov dh,2mov dl,ah ;异或结果暂存在dl中mov cl,4 ;先将异或结果高4位转换成ascii字符shr ah,cl ;本行开始的4行语句将一个十六进制数转换为ascii码change: cmp ah,10jl add_0add ah,a-0-10add_0: add ah,0mov hhdi,ahinc didec dhjz extmov ah,dl ;再将异或结果低4位转换成ascii字符and ah,0fhjmp changeext: pop dimov al,sourcesimov resultdi,alinc siinc dimov ah,sourcesimov resultdi,ahinc siinc dimov dl,hhmov dh,hh+1cmp ax,dx_ errmov al,0dh ;校验正确mov resultdi,alinc dimov al,0ahmov resultdi,alinc dijmp lperr: sub di,11 ;校验错误mov al,!mov cx,_cover: mov resultdi,alinc diloop coverlp: _jz exitjmp repeatexit: nop;*end*lea dx,result ;结果数据区首址lea si,name1 ;结果文件名mov cx,n ;字节数call save ;保存结果到文件retstart endpcode endsend startc29c91afe4ced1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afedd1b6c87d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af95ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9d1b6c8795ad9c91afe4ced1b6c8795a1afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afc91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6cd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af8795ad9c91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c87d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af5d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afad9c91fe4ced1b6c8795ad29c91afb6c8795ad29c91afb6c8795ad29c91afd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91affe4ced1b6c8795ad29c91afc8795ad9c91fe4ced1b6c8795ad29c91afd1b6c8795ad9c91afed1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8d1b6c8795ad9c91afe4ced1d91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afc91fe4ced1d1b6c871afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afc91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6cd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af8795ad9c91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c87d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af5d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afad9c91fe4ced1b6c8795ad29c91afb6c8795ad29c91afb6c8795ad29c91afd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8791afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afc91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6cd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af8795ad9c91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c87d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af5d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afad9c91fe4ced1b6c8795ad29c91afb6c8795ad29c91afb6c8795ad29c91afd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91affe4ced1b6c8795ad29c91afc8795ad9c91fe4ced1b6c8795ad29c91afd1b6c8795ad9c91afed1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8d1b6c8795ad9c91afe4ced15ad9c91d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91affe4ced1b6c8795ad29c91afc8795ad9c91fe4ced1b6c8795ad29c91afd1b6c8795ad9c91afed1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8d1b6c8795ad9c91afe4ced195ad9c91afe4ced1b6c8795ad91afe4ced1b6cd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af8795ad9c91fe4ced1d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c87d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af5d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91afad9c91fe4ced1b6c8795ad29c91afb6c8795ad29c91afb6c8795ad29c91afd1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91affe4ced1b6c8795ad29c91afc8795ad9c91fe4ced1b6c8795ad29c91afd1b6c8795ad9c91afed1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8d1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af795ad9c91fe4ced1b6c8795add1b6c8795ad9c91afe4ced1b6c8795ad91afe4ced1b6c8795ad9c91fe4ced1b6c8795ad29c91af29c91af4ced1b6c8795ad1b6c8795ad9c91afe4ced1b6c8795ad91afd1b6c8795ad9c91afe4ced1b6c8795a

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论