




已阅读5页,还剩38页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
基基于于fpga的的数数字字电电压压表表的的设设计计与与实实现现 design and implementation of digital voltage meter based on fpga 专专 业:电子信息工程业:电子信息工程 姓姓 名:名: 指指 导导 教教 师:师: 申请学位级别:申请学位级别: 学学 士士 论文提交日期:论文提交日期: 学位授予单位:学位授予单位: 摘摘 要要 数字电压表简称 dvm,是一种通过采用数字化测量技术,把连续的模拟量 (直流输入电压)转换成离散的、不连续的数字形式,并将转化结果加以显示的 仪表。 本设计研究的电压表主要的设计核心是 xilinx 公司的 vritex-4 fpga 器件, 主要分为下面几部分:数据处理模块实现 tvl571 数字量对应 bcd 码的变换和 处理;显示控制模块实现 led 段码的产生。显示部分要求在三个七段数码管上 显示电压值,要求保留小数点后两位数字。软件要求用 ise10.1 环境,用 vhdl 语言编写数字电压表各模块的功能并进行调试与下载到 fpga 板上进行 验证。 关键词:vhdl;数字电压表;fpga;a/d;xilinx;ise10.1 abstract digital voltmeter is a voltage measuring instruments that is referred to as dvm and it is most common in intelligent instruments.dvm use digital measurement technology and make the continuous analog (dc input voltage) into discontinuous, discrete digital form and display on led or lcd. the design of this voltmeter main core is the xilinxs vritex-4fpga devices.the voltmeter mainly divided into the following sections: data processing module is mainly depend on the tlv571 and based on this ad realizing the transforming bcd between digital measurement;dispay module realiazed the producing of the part code. the voltmeter requires the ability to display the measured voltage value in three seven-segment digital tube, retaining two significant figures after the decimal point. the software of the design is xilinx companys eda development environment ise10.1 and using the vhdl language to write the function of the voltmeters each module and debug.downloading the program to the the fpga board to verify the result. key words:vhdl;volmeter;fpga;a/d;xilinx;ise10.1 22 目目 录录 (目录页空着,我统一调整目录页空着,我统一调整) 1 绪绪 论论1 1.1 数字电压表的研究背景1 1.2 数字电压表的的研究意义1 1.3 国内外研究概况及应用前景2 2 系统的软件开发环境系统的软件开发环境4 2.1 xilinx公司的 ise 工具软件4 2.1.1 软件界面.4 2.1.2 设计流程.5 2.1.3 波形仿真流程.6 2.2 硬件描述语言 vhdl7 2.2.1 vhdl 语言简介7 2.2.2 vhdl 语言的特点优势8 2.2.3 设计中用到的语言结构.8 3 系统的硬件组成系统的硬件组成13 3.1 eda 技术概述.13 3.2 现场可编程门阵列 fpga.15 3.3 seed-xdtk-v4 实验平台.19 3.3.1 vritex-4 系列器件结构和特性 .19 3.3.2 cpld 功能模块.20 3.3.3 数码管.20 3.3.4 adtlv571 模块 .21 4 数字电压表的设计数字电压表的设计24 4.1 系统的整体设计方案24 4.2 模数转换控制模块24 4.3 数字电压转 bcd 模块25 4.4 数码管显示模块27 4.5 管脚约束文件28 5 数字电压表的实现数字电压表的实现30 6 结结 论论33 参考文献参考文献34 致致 谢谢35 附附 录录36 天津科技大学 2013 届本科生毕业论文 1 1 绪绪 论论 1.1 数字电压表的研究背景数字电压表的研究背景 在 20 世纪 50 年代数字电压表开始出现在生活和研究的各个方面,它在 60 年代得到了发展和完善。数字电压表简称 dvm,其在智能仪器的使用中是极其 常见的,它将测量技术、指标数据化,把连续的输入转化为离散的数据量并加 以显示。此种电子仪表能够得以发展主要由以下两方面原因,一是因为电子计 算机的普及,电子化技术已经从研究领域逐渐过渡到应用领域,在生产生活的 各项研究中实现数据化、理论化,即为了实现数据控制的实时性和数据处理的 可靠性;另一方面,也是电子计算机的发展,带动了脉冲数字电路技术的进步, 为数字化仪表的出现提供了条件1。所以,电子计算机的发展为数字化测量仪 器的出现与发展提供了前提条件;同时,在如今低能耗、高效率的发展要求之 下,完善陈旧的结构成为了测量仪器的发展趋势,这也进一步的推进了数字测 量仪器的发展,同时,它也是机械化仪表向智能化仪表发展的必经之路2。 当下,传统的指针式电压表的市场已经被数字电压表所取代,由于传统的 模拟指针式电压表具有功能单一,精度低,读数不便利以及易出错等缺点7。 采用现场可编程门阵列即 fpga(field programmable gate array)为设计的系 统核心,是在当智能电子产品市场背景下所要求的必然趋势。系统最大限度地 将所有器件集成在 fpga 芯片上,体积大大减小、集成度高,可靠性高。且逻 辑单元控制灵活度高、范围大、集成度高,可以尽可能的使大规模电路和超大 规模电路高精度的集成在芯片上,实现芯片的高效率、低功耗。因为其硬件系 统的功能是基于软件编程实现的,所以其灵活度高可在不改变原有电路的基础 上实现系统的升级14。基于 fpga 的数字电压表由于测量精度高,速度快,读 数时也非常方便,抗干扰能力强,可扩展性强等优点已被广泛应用于电子和电 工测量,工业自动化仪表,自动测量系统等领域,显示出强大的生命力。现在 数字电压表已经慢慢的发展成为便携式数字测量仪表中最具有代表性的测量仪 器之一3。 1.2 数字电压表的的研究意义数字电压表的的研究意义 随着现代化电子技术数据化进程的不断推进,数字电压表的功能越来越强, 种类越来越多,其使用范围也在不断的拓进。智能化的数字仪器的普及也是未 来发展的必然方向,它们将不仅能将测量准确度提高,而且能完善电测量技术 的自动化程序,以此为基础可以对各种通用数字仪表、专用数字仪表及各种非 电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆 盖了电子电工测量、工业测量、自动化仪表等各个领域18。 天津科技大学 2013 届本科生毕业论文 2 采用单片机作为系统的控制核心。输入信号经 ad 转换后送到单片机进 行数据处理,根据电压信号的不同计算出相应的数值,并将结果显示出来。这 种基于单片机的设计的优点是单片机技术成熟度高、设计成本也较低、编程灵 活度高、运算功能性较强,能较准确地测量输入电压。由于在单片机系统中必 须使用许多独立元件连接成整体的外围电路,这就使得整个系统变得复杂度高, 抗干扰能力差,可靠性较低,而且功耗高。目前,由于 fpga 技术具有快速的 数据处理能力所以其被广泛应用于信号的处理和控制等相关领域。最近成功研 制了一种高速数据采集系统,这种系统将 fpga 与 ad 转换器相结合,ad 转 换器的型号为 adc083000rb,具有 8 位双 lvds 分辨率 3gs/s,采样频率为 3ghz 带宽,用于对超高速瞬态信号进行计数。用 vhdl 语言编程。这个系统 可以对脉宽为 1-10 和 3ghz 的瞬态信号进行测量和计数。在这项工程中 pd 信 号由模拟发生器充当,所有的计数由 fpga 实现无需使用示波器。由于其计数 频率显示在 lcd 屏上,fpga 可以成为一种高度移动的便携检测仪20。基于 fpga 的数字电压表的设计其硬件功能完全由软件编程实现,修改调试方便, 在不改变原有电路的基础上便可实现系统升级,克服了用单片机设计系统的缺 点17。 1.3 国内外研究概况及应用前景国内外研究概况及应用前景 科学技术的快速发展为测量仪表、仪器的出现提供了可能性,并且为此提 供了新的原理和新的技术支持,新型的元、器体也应运而生,与此同时对测量 仪表的要求有了更高更新的要求。数字电压表(也称之为 dvm)是以计算机技术、 电子技术、精密测量技术和自动化技术为基础逐渐产生和发展起来的8。 数字式仪表是一种可以把连续的被测量自动地变成不连续的、用数字编码 方式表示的、并以十进制数字的形式自动显示测量结果的测量仪表。这是一种 新式的测量工具,这种工具通过将计算机技术、电子技术以及自动化技术的研 究成果与精密电测量技术密切的结合在一起而成为仪表、仪器领域中独树一帜 的一个分支16。 1952 年,美国的 nls 公司第一个发明了四位的数字电压表,从以前到现 在的的几十年中一直在进行不断的革新与改进使其功能日趋完善。电压表是以 电位差计的自动化考虑为基础研发成功的,从以前只能显示四五六位而逐渐发 展到现在能在数码管上显示七八位的结果;开始是一、二种简单的工作原理渐 渐发展到现在几十种复杂的原理,从最初使用电子管和继电器发展到现在用全 晶体管化、集成电路化、微处理器化的器件;由一台数字电压表只能局限的测 量一、两种参数到如今能大范围的测十几种参数的多用型;显示器件也从辉光 数码显示发展 到等离子体、发光二极管、液晶显示等。电压表的体积和功耗变 天津科技大学 2013 届本科生毕业论文 3 得越来越小,重量也在不断地减轻,价格也在渐渐地下降,可靠性也越来越高, 量程范围越来越大19。 总结一下电压表的发展历程,大概可以分为以下三个阶段: 数字化阶段。 从 50 到 60 年代的中期,电压表通过运用各种原理来实现模拟量与数字量之间 的变换,就是通过将模拟量转化成数字量来实现测量仪表的数字化。 高准确度 阶段。随着精密电测量准确度要求的越来越高,电压表的测量精度也在不断的 提高,测量位数的范围也在不断的拓展,因此出现了一种以此为基础的复合型 原理的新型仪表。智能化阶段。在 60 年代的末期,电子技术和工艺结构的发 展都有了标志性的成就,此时计算机技术与大规模的集成电路(lsi)相结合的产 物微处理器也应运而生。1972 年,美国的英特尔公司首第一个发明微处理器不 久就研发出微处理器式数字电压表,从而实现了电压表的数据自动化处理与可 编程序的功能。由于带有存储器并使用相关的软件,因此可以对信息进行处理, 也可以通过标准接口组成自动测试系统(也称之为 ats)。这些仪表除了实现了 原有的电压表的各种功能外,还能够自动检测鱼校验,保证了自动测量度的准 确性,实现了仪表和仪器所说的“智能化”。如今,智能化仪表发展的非常迅猛, 在智能仪表中尤其以微处理式电压表所占的百分比最多4。各种物理量的动态 测量的希望由于智能化电压表的出现也越来越明朗。 天津科技大学 2013 届本科生毕业论文 4 2 系统的软件开发环境系统的软件开发环境 2.1 xilinx 公司的公司的 ise 工具软件工具软件 xilinx 公司是全世界领先的能都同可编程逻辑完整解决方案的厂家,同 时也研发、制造并销售应用范围十分广泛的软件设计工具、高级集成电路还有 定义系统级功能的 ip(intellectual property)核,从前到现在,一直为 fpga 技术 的发展做出了巨大的贡献。同时 xilinx 的开发工具也一直在不断地升级,由 前期研发的 foundation 系列也逐渐地发展到现在的 ise9.1i 系列,融合了 fpga 所需要的所有功能,其主要特点主要包括下面几部分:包含了 xilinx 新型 smart compile 技术,同时可以将实现的时间缩减到原来的 2.5 倍,并且可以在 最短的时间内提供最高的性能,提供了一个功能十分强大的设计收敛环境; 全 面支持 virtex-5 系列器件(业界第一款 65nmfpga);集成式的时序收敛环境对 轻松地快速地识别 fpga 设计的瓶颈有了很明显的帮助;能节省一个或多个速 度等级的成本,并且能在逻辑设计中把总成本降到最低。 foundation series ise 界面清晰、简单且易操作,再加上 xilinx 的 fpga 芯片在市场上占有很大的市场,因此成为市场上最通用的 fpga 工具软 件也理所当然。ise 作为高效的 eda 设计工具集合,与第三方软件结合可以 扬长补短,其软件功能也越来越强大,也因此为用户提供了更加丰富的 xilinx 平台。 2.1.1 软件界面 图 2-1 软件界面 图 2-1sources 窗口中可以查看所用的芯片型号类型,顶层文件,还可以通 过点击右键来创建新的文件类型如仿真波形或是 ip 核等,sources 的类型有三 种分别为 implementation、behavioral simulation、post-route simulation;process 天津科技大学 2013 届本科生毕业论文 5 窗口可以实现综合、编辑管教约束文件、下载 bit 文件、下载等功能。 2.1.2 设计流程 设计中常用的四个操作:综合、实现、生成 bit 文件、下载。 ise 的功能主要分为设计输入、综合、仿真、实现和下载几个部分,涵盖 了 fpga 开发的全过程,从功能上讲,其工作流程不需借助任何的第三方 eda 软件。 规规划划和和预预算算 创创建建代代码码原原理理图图h hd dl l r rt tl l仿仿真真 综综合合以以创创建建网网表表 功功能能仿仿真真 实实现现 翻翻译译 映映射射 布布局局和和布布 线线 得得到到时时序序收收 敛敛 时时序序仿仿真真 产产生生比比特特 流流文文件件 配配置置f fp pg ga a 图 2-2 设计流程 设计输入:ise 提供的设计输入工具包括用于 hdl 代码输入和查看报告 的 ise 文本编辑器(the ise text editor),用于原理图编辑的工具 ecs(the engineering capture system),用于生成 ip core 的 core generator,用于状态机 设计的 state cad 以及用于约束文件编辑的 constraint editor 等。 综合:ise 的综合工具不仅包含了 xilinx 自身提供的综合工具 xst,与 此同时还内嵌了 mentor graphics 公司的 leonardo spectrum 和 synplicity 公司 的 synplify,实现无缝链接。 仿真:ise 工具本身自带了一个具有图形化波形编辑功能的仿真工具 hdl bencher,同时又提供了使用 model tech 公司的 modelsim 进行仿真的接口。 实现:这个功能包括了翻译、映射、布局布线等几部分,还具备了时序分 天津科技大学 2013 届本科生毕业论文 6 析、管脚指定以及增量设计等高级功能。 下载:下载功能包括了 bitgen,可以将布局布线后的设计文件变换为位流 文件,还包括了 impact,其功能是将设备进行配置与通信,控制将程序烧写 到 fpga 芯片中去。 使用 ise 进行 fpga 设计的各个过程可能涉及到的设计工具如表 2-1 所示。 表 2-1 ise 设计工具表 设计输入综合仿真实现下载 hdl 文本编辑器 ecs 原理图编辑器 state 状态机编辑 器 xst fpga express (synplify lenardspectrum) hdl bencher (model sim) translate map place and route xpower bitgen impac t 2.1.3 波形仿真流程 图 2-3 新建一个波形文件 天津科技大学 2013 届本科生毕业论文 7 processes窗口 图 2-4 实现行为仿真 如图 2-3 所示,在 sources 窗口中按右键创建一个波形文件,选择所需要仿 真的 vhdl 文件,创建完成后即为图 2-4 中的第一张图,在 souces 窗口切换到 行为仿真,选择刚才创建的波形文件进行行为仿真,在 process 窗口中点击 simulation behavioral model 右键选择属性将仿真时间与建立波形的时间的设成 一样的。 2.2 硬件描述语言硬件描述语言 vhdl 2.2.1 vhdl 语言简介 vhsic(veryhighspeedintegratedcircuit)hardwaredescription language,是由美国的国防部提出的一种新型的 hdl,产生于 1981 年,其目 标是一个使电路文本使他人理解的一种标准,其主要功能是为了让他人所理解 采用文本描述的设计,同时作为模型语言,可以采用软件来进行模拟操作。 1986 年,ieee(the institute of electrical and electronics engineers)全力进行予以 vhdl 标准化的工作,经过了多次的修改和扩充,在 1987 年底 vhdl 语言被 ieee 和美国国防部宣布成为为标准硬件描述语言。1988 年,milstd454 规定所 有为美国国防部设计的 asic 产品一定要采用 vhdl 来进行描述。1993 年, ieee 又对 vhdl 进行了再次的修订。从更高的抽象层次和系统描述能力上扩 展 vhdl 的内容,加入了支持模拟描述及数模混合描述的语言功能,使其成 为了一种标准的混合型的硬件描述语言,公布了新版本的 vhdl 即 ieee 标准 的 10761993 版本。1996 年,ieeel076.3 成为 vhdl 综合标准。如今,作为 硬件描述语言之一的 vhdl 语言成为 eda 工具开发商和集成电路制造商所一 致认可和推广的标准硬件描述语言。 天津科技大学 2013 届本科生毕业论文 8 2.2.2 vhdl 语言的特点优势 vhdl 语言可以成为标准并且得到广泛的应用,肯定有它自身一定的主要 优势,或者说是与众不同的特点。具有强大的功能和灵活性特点:vhdl 语 言语言结构功能十分强大,复杂的逻辑控制可以用最简单明确的语言来描述。 独立于任何器件的设计:设计人员使用 vhdl 语言进行硬件电路的设计时, 可以脱离此项设计的逻辑器件来进行设计。这样,设计人员就能花费大量的时 间与精力来进行设计的构思。可进行程序的移植:vhdl 语言的移植能力是 允许设计人员对需要综合设计描述来进行模拟,在综合前对一个数千门的设计 描述进行模拟能省下很多的时间。 因为 vhdl 语言是一种标准化的硬件描述语言,因而同一个设计的 vhdl 语言描述能被不同的 eda 工具所支持,从而使得 vhdl 语言程序的移植成为 可能。性能评估能力:不依靠器件的设计和可以进行程序移植的特点允许设 计人员可以使用不同的器件结构和综合工具来对自己的设计进行综合评估。 易于 asic 移植:vhdl 语言高效率的重要表现之一就是假如设计人员的设计 是被综合到一个 cpld 器件或 fpga 器件,那么就可以使设计的产品以最快的 的速度上市。当产品的数量达到很大的规模时,采用 vhdl 语言可以很容易地 帮助设计人员实现转成 asic 的设计。vhdl 语言具有标准、规范,易于共 享和复用的特点:vhdl 语言的语法标准、规范且可读性非常强。因为 vhdl 语言是一种 ieee 的工业标准硬件描述语言,具有严格的语法规范和统一的标 准的特点,所以它可以使设计人员之间进行交流和共享14。 2.2.3 设计中用到的语言结构 1.调用库 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; 2.实体 entity 实体名 is port ( 端口表 ); end entity 实体名; 以本设计中的程序为例如 entity volmeter is port ( clk : in std_logic; int : in std_logic; 天津科技大学 2013 届本科生毕业论文 9 dati : in std_logic_vector (7 downto 0); wr,rd,cs : out std_logic; reset : in std_logic; scan : out std_logic_vector (2 downto 0); dato : out std_logic_vector (7 downto 0); end volmeter; 3.结构体 architecture 结构体名 of 实体名 is 说明语句 begin 功能描述语句 end architecture 结构体名; 4.端口声明 port ( 端口名 : 端口模式 数据类型 ; 端口名 : 端口模式 数据类型 ) ; 以本设计中的程序为例如 port ( clk : in std_logic; int : in std_logic; dati : in std_logic_vector (7 downto 0); wr,rd,cs : out std_logic; reset : in std_logic; scan : out std_logic_vector (2 downto 0); dato : out std_logic_vector (7 downto 0); 5.自定义数据类型如 type state is (s0,s1,s2,s3); signal sta,led_sta :state; 6.简单的赋值语句 赋值目标 = 表达式 如 scan 连接端口名,.) ; 如 u0: lpm_rom0 port map ( address=address_l,clock=qclk,q=data_l(15 downto 8) 8.元件声明 天津科技大学 2013 届本科生毕业论文 10 component 元件名 is port (端口名表); end component 元件名; 如 component lpm_rom0 port ( address :in std_logic_vector(5 downto 0); clock :in std_logic; q :out std_logic_vector(7 downto 0) ); end component; 9.process 进程语句结构 进程标号: process ( 敏感信号参数表 ) is 进程说明部分 begin 顺序描述语句 end process 进程标号; 如 process(clk,clkcount) begin if clkevent and clk=1 then clkcount is when = ; . ; ; when = ; . ; ; . when others = ; end case ; 原则 : 1、完全性:表达式所有可能的值都必须说明,可以用 others 2、唯一性:相同表达式的值只能说明一次 如 case led_sta is when s0=scanscanscanscan100,设置s 。读取是否有下降沿,有则表示转换完成,进入下。1rdwrcsintr 一状态。 天津科技大学 2013 届本科生毕业论文 23 3)状态 s2:向 tvl571 发出读取转换数据的请求,设置 。、10wrrdcs 4)状态 s3:读取数据线 d0d7 上的数据,设置。1rdwrcs 状态图图示 s s0 0 s s1 1 s s2 2 s s3 3 图 3-5 状态图 天津科技大学 2013 届本科生毕业论文 24 4 数字电压表的设计数字电压表的设计 4.1 系统的整体设计方案系统的整体设计方案 数字电压表的设计核心是 fpga,fpga 负责模数转换器 tlv571 的启动以 及转换数据的读取,再将读取的 8 位的二进制数据进行交换,形成便于输出的 3 位的 led 的段码送给 led 数码管,从而显示被测电压值。 fpga 控制部分的设计主要包括以下几个部分:模数转换控制模块实现 tlv571 的启动及转换数据读取;数据处理模块实现 tvl571 数字量对应 bcd 码的变换和处理;显示控制模块实现 led 段码的产生。fpga 控制电路原理框 图如图 4-1 所示。 转转换换控控制制 模模块块 数数据据处处理理 模模块块 显显示示控控制制 模模块块 数数据据数数据据 数数据据输输入入 段段选选 位位选选 cs wr rd intr 图 4-1 fpga 控制电路原理框图 4.2 模数转换控制模块模数转换控制模块 我们使用的 fpga 是 xilinx 公司设计的 seed-fem025 开发系统构成,其 中主要的器件是 xilinx 推出的 virtex-4 系列器件。用状态机作法,产生 tlv571 的片选信号、读/写控制信号,通过状态信号 intr 判断转换是否结束; 转换结束后将转换数据锁存并输出。方框图如 4-2 所示。 图 4-2 控制模块 程序代码如下 天津科技大学 2013 届本科生毕业论文 25 when s0=cscs cs cscs ”1 10 00 01 1” v vo ol lt ta ag ge e( (3 3 d do ow wn nt to o 0 0) ) = =”1 10 00 01 1” v vo ol lt ta ag ge e( (7 7 d do ow wn nt to o 4 4) ) = =”1 10 00 01 1” v vo ol lt ta ag ge e( (1 11 1 d do ow wn nt to o 8 8) ) “ “1 10 00 01 1“ “ v vo ol lt ta ag ge e( (7 7 d do ow wn nt to o 4 4) ) “ “1 10 00 01 1“ “ v vo ol lt ta ag ge e( (1 11 1 d do ow wn nt to o 8 8) ) “ “1 10 00 01 1“ “ v vo ol lt ta ag ge e( (1 11 1 d do ow wn nt to o 8 8) )100hz 时钟信号作用下,当扫 描信号 scan=110、101、011 时,分别将个位、十位、百位数据送给 hexd,在根 据 hexd 的具体取值将对应的段码送给 led 数码管,实现数据的显示。显示采 用 led 数码管,采用数码管动态显示。数码管具有简单的结构、损耗较低、长 寿命、耐老化、低成本、对外界要求低、维护方便、操作容易,编程简单等优 点。 天津科技大学 2013 届本科生毕业论文 28 s sc ca an n= =”1 11 10 0” h he ex xd d“ loc = af19; net “cpld_e“ loc = af23; net “dato“ loc = ad20; net “dato“ loc = ad21; net “dato“ loc = ad22; net “dato“ loc = ad23; net “dato“ loc = ac21; net “dato“ loc = ac22; net “dato“ loc = ac23; net “dato“ loc = ac24; net “da_ncs“ loc = ad17; net “lcd_ncs“ loc = ac15; net “reset“ loc = ae6; net “scan“ loc = af24; net “scan“ loc = ae24; net “scan“ loc = ad25; net “usb_ncs“ loc = v20; 天津科技大学 2013 届本科生毕业论文 29 5 数字电压表的实现数字电压表的实现 tlv571 是 8 位模数转换器,它的输出状态共有 256 种,如果输入信号 ui 为 05v 电压范围,则每两个状态值为 5/(256-1),约为 0.0196v,故测量分辨 率为 0.02v。常用测量方法是:当读取到 dato7data0 转换值是 xxh 时,电压 测量值为 uxxh0.02v;考虑到直接使用乘法计算对应的电压值将耗用大量 的 fpga 内部组件,本设计用查表命令来得到正确的电压值。在读取到 tlv571 的转换数据后,先用查表指令算出高、低 4 位的两个电压值,并分别 用 16 位 bcd 码表示;接着设计 16 位的 bcd 码加法,如果每 4 位相加结果超 过 9 需进行加 6 进 1。这样得到模拟电压的 bcd 码。其方框图如图 5-1。 图 5-1 数据模块部分 程序如下: dato(7 downto 0)address_l,clk=qclk,dout=data_l(15 downto 8); u1: data_rom port map ( addr=address_m,clk=qclk,dout=data_l(7 downto 0); u2: da
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年定制化工业厂房消防设施设计与施工总承包合同
- 2025年医疗场所消毒灭菌标准执行与改进合同
- 2025年校园门窗玻璃更新工程及持续售后服务合同
- 2025年专业美容院护肤产品销售业绩合作框架协议
- 2025年物联网设备软件开发与兼容性测试服务协议
- 2025年大型活动安全保障服务及设备租赁合同
- 2025年新材料研发与应用合作合同范本
- 培训知识迭代快课件
- 水就是生命教学课件
- 变电站电缆课件
- 房屋市政工程生产安全重大事故隐患判定检查表(2024版)
- 2025至2030国PLM市场深度调查与未来前景预测研究报告
- 抖音公会合同协议
- 轮胎维修安全管理制度
- 2025年资料员考试试题题库(100题)附答案
- 2025中国建设银行房屋按揭贷款合同书
- 2025年公安辅警招聘知识考试题(附含答案)
- 电缆线路防外破施工方案
- 银行开公户章程范本
- 五年级数学(小数乘除法)计算题专项练习及答案汇编
- 恶劣天气行车安全培训
评论
0/150
提交评论