基于DDS技术的信号发生器的设计与实现_毕业设计.doc_第1页
基于DDS技术的信号发生器的设计与实现_毕业设计.doc_第2页
基于DDS技术的信号发生器的设计与实现_毕业设计.doc_第3页
基于DDS技术的信号发生器的设计与实现_毕业设计.doc_第4页
基于DDS技术的信号发生器的设计与实现_毕业设计.doc_第5页
已阅读5页,还剩49页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

唐 山 学 院毕毕 业业 设设 计计设计题目:设计题目:基于 dds 技术的信号发生器的设计与实现 系系 别:别: 信息工程系 2 0 1 2 年6 月10 日基于 dds 技术的信号发生器的设计与实现摘 要dds 是直接数字式频率合成器(direct digital synthesizer)的英文缩写。与传统的频率合成器相比,dds 具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。本设计采用单片机为核心处理器,利用键盘输入信号的参数,控制 dds 的ad9850 模块产生信号,信号的参数在 lcd1602 上显示,完成正弦信号和方波信号的输出,用示波器输出验证。dds 是一种全数字化的频率合成器,由相位累加器、波形 rom、d/a 转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于 rom 的地址线位数,幅度量化噪声取决于 rom 的数据位字长和 d/a 转换器位数。与传统的频率合成方法相比,dds 合成信号具有频率切换时间短、频率分辨率高、相位变化连续等诸多优点。使用单片机灵活的控制能力与 ad9850 的高性能、高集成度相结合,可以克服传统 dds 设计中的不足,从而设计开发出性能优良的信号发生器系统。关键词:关键词:单片机 直接数字频率合成 ad9850 ddsdesign and implementation of the signal generator based on dds technologyabstractdds is direct digital frequency synthesizer (direct digital synthesizer) english abbreviations. compared with the traditional frequency synthesizer, with low cost, dds low power consumption, high resolution and fast converting speed time and so on, widely used in telecommunications and electronic instruments field, is to realize equipment full digital a key technology. this design uses the single chip processor as the core, using a keyboard input signal parameters, control of dds ad9850 module produce signals, the signal parameters in lcd1602 show that the complete sine signal and square wave signal output, the output with an oscilloscope validation. dds is a full digital frequency synthesizer, by phase accumulators, waveform rom, d/a converter and low pass filter composition. the clock frequency after a given, the output depends on the frequency of the signal frequency control word, the frequency resolution depends on accumulators digits, phase resolution depends on the rom address line digits, amplitude quantization noise depends on the rom data a word length and d/a converter digits. and the frequency of the traditional method than the synthesis, dds synthesis signal has a frequency switching frequency of short time, high resolution and continuous phase changes, and many other advantages. using single chip microcomputer control of the flexible ability and high performance, high level of integration of the ad9850 combination, can overcome the disadvantage of the traditional dds design, to design the developed good performance of signal generator system. key word: mcu; direct digital frequency synthesis;ad9850;dds目 录1 引言.12 dds 概要.22.1 dds 介绍.22.1.1 dds 结构.22.1.2 典型的 dds 函数发生器 .32.2 dds 数学原理.53 总体设计方案.83.1 系统设计原理.83.2 总体设计框图.84 系统硬件模块的组成.94.1 单片机控制模块.94.1.1 stc89c52 主要性能.94.1.2 stc89c52 功能特性描述.94.1.3 时钟电路.114.1.4 复位电路.114.2 ad9850 模块.124.2.1 ad9850 简介.124.2.2 ad9850 的控制字与控制时序.144.2.3 单片机与 ad9850 的接口.154.3 滤波电路设计.154.4 键盘控制模块.164.5 lcd 显示模块.164.5.1 液晶显示器显示原理.164.5.2 1602lcd 引脚与时序.174.6 a/d 转换模块.205 软件设计与调试.215.1 程序流程图.215.2 软件调试 .225.2.1 keil 编程工具介绍.225.2.2 stc-isp 下载工具介绍 .236 硬件电路制作.246.1 原理图的绘制.246.2 电路实现的基本步骤.246.3 硬件测试波形图.257 结 论.27谢 辞.28参考文献.29附 录.30外文资料.43唐唐山山学学院院毕毕业业设设计计11 引言在电子科技技术领域中,经常要用一些信号作为测量基准信号或输入信号,也就是所谓的信号源。信号源是各种各样的,包括正弦波信号源、函数发生器、脉冲发生器、扫描发生器、任意波形发生器、合成信号源等。作为电子系统非常重要的组成部分的信号源,在很大程度上影响着系统的性能特点,因而常称之为电子系统的测试信号源。随着电子技术的发展,对信号源的要求越来越高,要求其输出频率高达微波频段甚至更高,频率范围从零 hz 到几 ghz 频率分辨率达到mhz 甚至更小,相应频点数更多;频率转换时间更是达到 ns 级,频谱纯度越来越高。同时,对频率合成器能耗、体积大小、重量等也有更高的规格要求。现在要求信号源能产生波形的种类多、频率范围高,而且还要体积小、可靠性高、操作简单灵活、使用方便及可由计算机控制等特点。当今高性能的信号源均通过频率合成技术来实现。随着计算机、数字集成电路和微电子技术的发展,频率合成技术有了新的突破,直接数字频率合成技术(direct digital synthesis dds),它是将先进的数字信号处理理论与方法引入到信号合成领域的一项新技术,它的出现为进一步提高信号的频率稳定度提供了新的解决方法。同时,随着微电子技术的迅速发展,尤其是单片机技术的发展,智能仪器也有了新的进展,功能更加完善,性能也更加可靠,智能程度也不断提高直接数字式频率合成技术的出现导致了频率合成领域的一次重大革命。直接数字频率合成器问世之初,构成 dds 元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了 dds 的发展与实际应用。近几年超高速数字电路的发展以及对 dds 的深入研究,dds 的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要求越来越高。dds(直接数字合成)技术是从相位概念出发直接合成所需波形的一种新的频率合成技术,与传统的模拟式波形产生法相比,它具有相位变换连续、频率转换速度快、分辨率高、稳定度高、相位噪声小、便于集成、易于调整及控制灵活等多种优点。基于 dds 技术的信号发生器是一类新型信号源,它已成为众多电子系统中不可缺少的组成部分。唐唐山山学学院院毕毕业业设设计计22 dds 概要2.1 dds 介绍dds 芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如 q2220) 。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到) 。dds 芯片输出的一般是数字化的正弦波,因此还需经过高速 d/a 转换器和低通滤波器才能得到一个可用的模拟频率信号。 另外,有些 dds 芯片还具有调幅、调频和调相等调制功能及片内 d/a 变换器(如 ad7008) 。 dds 的优点:1.频率分辨率高,输出频点多,可达 2 的 n 次方个频点(n 为相位累加器位数); 2.频率切换速度快,可达 us 量级; 3.频率切换时相位连续; 4.可以输出宽带正交信号; 5.输出相位噪声低,对参考频率源的相位噪声有改善作用; 6.可以产生任意波形; 7.全数字化实现,便于集成,体积小,重量轻。 在各行各业的测试应用中,信号源扮演着极为重要的作用。但信号源具有许多不同的类型,不同类型的信号源在功能和特性上各不相同,分别适用于许多不同的应用。信号源中采用 dds 技术在当前的测试测量行业已经逐渐称为一种主流的做法。 2.1.1 dds 结构1971 年,美国学者 j.tierney 等人撰写的“a digital frequency synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新组成原理。限于当时的技术和器件产,它的性能指标尚不能与已有的技术相比,故没受到重视。近几年间,随着微电子技术的迅速发展,直接数字频率合成器(direct digital frequency synthesis 简称 dds 或 ddfs)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。具体体现在相对带宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性唐唐山山学学院院毕毕业业设设计计3价比。dds 是直接数字式频率合成器(direct digital synthesizer)的英文缩写。与传统的频率合成器相比,dds 具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术1。直接数字频率合成器(direct digital synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储 rom、d/a 转换器和低通滤波器(lpf)构成。dds 的原理框图如下图2-1 所示:图 2-1 dds 原理框图其中 k 为频率控制字、p 为相位控制字、w 为波形控制字、fc 为参考时钟频率,n 为相位累加器的字长,d 为 rom 数据位及 d/a 转换器的字长。相位累加器在时钟 fc 的控制下以步长 k 作累加,输出的 n 位二进制码与相位控制字 p、波形控制字 w 相加后作为波形 rom 的地址,对波形 rom 进行寻址,波形 rom 输出 d 位的幅度码 s(n)经 d/a 转换器变成阶梯波 s(t),再经过低通滤波器平滑后就可以得到合成的信号波形。合成的信号波形形状取决于波形 rom 中存放的幅度码,因此用 dds 可以产生任意波形。这里我们用 dds 实现正弦波的合成作为说明介绍。2.1.2 典型的 dds 函数发生器一个完整周期的函数波形被存储在上面所示的存储器查找表中。相位累加器跟踪输出函数的电流相位。为了输出一个非常低的频率,采样样本之间的差相位()将非常小。例如,一个很慢的正弦波可能将有 1 度的 相位。则波形的 0 号采样样本采得 0 度时刻的正弦波的幅度,而波形的 1 号采样将采得 1 度时刻的正弦波的幅度,依次类推。经过 360 次采样后,将输出正弦曲线的全部 360 度,或者确切地说是一个周期。一个较快的正弦波可能会有 10 度的 相位。于是,36 次采样就会输出正弦波的一个周期。如果采样率保持恒定,上述较慢的正弦波的频率将比较快的正弦波慢 10 倍。 进一步说,一个恒定的 相位必将导致一个恒定正弦波频率的输出。但是,累加器加法器加法器romlpfd/a参考符号 fcn 位n 位snst频率控制字相位控制字波形控制字唐唐山山学学院院毕毕业业设设计计4dds 技术允许通过一个频率表迅速地改变信号的 相位。函数发生器能够指定一个频率表,该表包括由波形频率和持续时间信息组成的各个段。函数发生器按顺序产生每个定义的频率段。通过生成一个频率表,可以构建复杂的频率扫描信号和频率跳变信号。dds 允许函数发生器的相位从一级到另一级连续变化。 矢量信号发生器提供高灵活度和强大的解决方案,可用于科学研究,通信,消费电子,宇航/国防,半导体测试以及一些新兴领域,如软件无线电,无线电频率识别( rfid),以及无线传感网络等2。 有些公司还提供许多其他利用 dac 来产生模拟信号的模拟输出产品。模拟输出板的基本架构是,将一个小型的 fifo 存储器连接到一个 dac 上。绝大部分的模拟输出板被用来产生静态电压,而且许多可以被用来产生低频波形。2.1.2.1 频率预置与调节电路k 被称为频率控制字,也叫相位增量。dds 方程为:f0= fc *k/2n,f0 为输出频率,fc 为时钟频率。当 k=1 时,dds 输出最低频率(也即频率分辨率) ,为fc/2n,而 dds 的最大输出频率由 nyquist 采样定理决定,即 fc/2,也就是说 k 的最大值为 2n -1。因此,只要 n 足够大,dds 可以得到很细的频率间隔。要改变 dds的输出频率,只要改变控制字 k 即可。2.1.2.2 累加器相位累加器由 n 位加法器与 n 位寄存器级联构成。每来一个时钟脉冲 fc,加法器将频率控制字 k 与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。2.1.2.3 控制相位的加法器此加法器通过改变相位控制字 p 可以控制输出信号的相位参数。令相位加法器的字长为 n,当相位控制字由 0 跃变到 p(p0)时,波形存储器的输入为相位累加器的输出与相位控制字 p 之和,因而其输出的幅度编码相位会增加 p/2n,从而使最后输出的信号产生相移。2.1.2.4 控制波形的加法器次加法器通过改变波形控制字 w 可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字 w(波形地址)之和,从而使最后输出的信号产生和相移。唐唐山山学学院院毕毕业业设设计计52.1.2.5 波形存储器波形存储器用相位累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。n 位的寻址 rom 相当于把 0360的正弦信号离散成具有 2n 个采样值的序列,若波形rom 有 d 位数据位,则 2n 个样值的幅值 d 位二进制数值固化在 rom 中,按照地址的不同可以输出相应相位的正弦信号的幅值。相位幅度变换原理图如下图所示:2.1.2.6 d/a 转换器d/a 转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列 s(n)经 d/a 转换后变成了包络为正弦波的阶梯波 s(t)。需要注意的是,频率合成器对 d/a 转换器的分辨率有一定的要求,d/a 转换器的分辨率越高,合成的正弦波 s(t)台阶数就越多,输出的波形的精度也就越高。2.1.2.7 低通滤波器 对 d/a 输出的阶梯波 s(t)进行频谱分析,可知 s(t)中除主频 fo 外,还存在分布在 fc、2fc 等等的两边fo 处的非谐波分量,幅值包络为辛格函数。因此,为了取出主频 f0,必须在 d/a 转换器的输出端接入截止频率为 fc/2 的低通滤波器。2.2 dds 数学原理设有一频率为的余弦信号:f)(ts (2-1))2cos()(ftst现在以采样频率对进行采样,得到的离散序列为:cf)(ts (2-2))2cos()(cnfnts2 , 1 , 0n其中为采样周期。ccft1对应的相位序列为图 2-2 相位幅度变换原理图(地址)rom 波形存储器相位量化序列波形幅度量化序列(数据)唐唐山山学学院院毕毕业业设设计计6 (2-3)cnfnt2)(, 2 , 1 , 0n从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且这个常数仅与信号的频率有关,相位增量为:f (2-4)cnft2)(因为信号频率与采样频率之间有以下关系:fcf (2-5)mkffc其中与为两个正整数,所以相位的增量也可以完成:km (2-6)mkn2)(由上式可知,若将的相位均匀的分为等份,那么频率为的余弦信号2mmkf2以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。cfk根据上述原理可以构造一个不变量为量化相位增量的量化序列:k (2-7)nkn )(2 , 1 , 0n 然后完成从到另一个序列的映射,由构造序列:)(n)(ns)(n (2-8))2cos(2cos)(2cos)(cfntknkmnns公式(2-8)是连续信号经采样频率为采样后的离散时间序列,根据采样定)(tscf理,当时,经过低通滤波器平滑后,可唯一恢复出。21mkffc)(ns)(ts 可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号:k)(ts (2-9)mtkftsc2cos)(该信号的频率为: (2-10)mkffc0公式(2-10)就是直接数字频率合成(dds)的方程式,在实际的 dds 中,唐唐山山学学院院毕毕业业设设计计7一般取,于是 dds 方程就可以写成:nm2 (2-11)nckff20根据公式(211)可知,要得到不同的频率只要通过改变的具体数值就可k以了,而且还可以得到 dds 的最小频率分辨率(最小频率间隔)为当时的1k输出频率: (2-12)ncresff2可见当参考频率始终一定时,其分辨率由相位累加器的位数决定,若取cfn,则,即分辨率可以达到,这也是mhzfc10032nhzfres024. 0hz024. 0最低的合成频率,输出频率的高精度 dds 的一大优点。由奈奎斯特准则可知,允许输出的最高频率,即,但实际2maxcoff12nk上在应用中受到低通滤波器的限制,通常。由此可见 dds 的工作频率2maxcoff带较宽,可以合成从直流到的频率信号,同时它的频率稳定度高3。cf4 . 0唐唐山山学学院院毕毕业业设设计计83 总体设计方案3.1 系统设计原理单片机 stc89c52 是整个系统关键部分,通过对键盘进行扫描读入信号控制信息,经转换后输出到 dds 芯片模块。本文提出的采用 dds 作为信号发生核心器件的全数控函数信号发生器设计方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国 a/d 公司的 ad9850 芯片,并通过单片机程序控制和处理 ad9850 的 40 位控制字, 从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。本系统主要由单片机、dds 直接频率信号合成器、ad 转换模块、数字衰减电路、由 ad9850 模块输出波形。信号波形的参数信息经 stc89c52 控制的lcd1602 显示。3.2 总体设计框图本设计采用单片机为核心处理器,利用键盘输入信号的参数,控制 dds 的ad9850 模块产生信号,信号的参数在 lcd1602 上显示,完成正弦信号和方波信号的输出,用示波器输出验证。系统构成如下图 3-1 所示。图 3-1 系统框图由系统框图可知,键盘控制频率的输入,键盘输入的数据通过单片机的控制,在 lcd 上显示其频率、波形类型和幅度大小,控制 ad9850 输出波形,通过电位器调节信号的幅度和方波的占空比。lcd1602键盘单片机ad9850低通滤波器信号输出唐唐山山学学院院毕毕业业设设计计94 系统硬件模块的组成4.1 单片机控制模块主控电路中,以单片机为主体,通过分析键盘输入的数字值,对 ad9850 写入相应的控制字。它是系统的大脑。单片机(micro controller,又称微控制器)是在一块硅片上集成了各种部件的微型机算计,这些部件包括中央处理器 cpu、数据存贮器 ram、程序存贮器rom、定时器/计数器和多种 i/o 接口电路。4.1.1 stc89c52 主要性能单片机 stc89c52 的主要性能分别为:与 mcs-51 单片机产品兼容;8k 字节在系统可编程 flash 存储器;1000 次擦写周期;全静态操作:0hz33hz、三级加密程序存储器、 32 个可编程 i/o 口线、三个 16 位定时器/计数器、八个中断源、全双工 uart 串行通道、低功耗空闲和掉电模式、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符。4.1.2 stc89c52 功能特性描述 stc89c52 是一种低功耗、高性能 cmos8 位微控制器,具有 8k 在系统可编程 flash 存储器。使用宏晶公司高密度非易失性存储器技术制造,与工业 80c51 产品指令和引脚完全兼容。片上 flash 允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的 8 位 cpu 和在系统可编程 flash,使得stc89c52 为众多嵌入式控制应用系统提供高灵活、有效的解决方案2。stc89c52 具有以下标准功能:8k 字节 flash、256 字节 ram、32 位 i/o 口线、看门狗定时器、2 个数据指针、三个 16 位定时器/计数器、一个 6 向量 2 级中断结构、全双工串行口、片内晶振及时钟电路。另外,stc89c52 可降至 0hz 静态逻辑操作,支持 2 种软件可选择节电模式。空闲模式下,cpu 停止工作,允许ram、定时器/计数器、串口、中断继续工作。掉电保护方式下,ram 内容被保存,振荡器被冻结,单片机一切工作停止,直到下个中断或硬件复位为止4。stc89c52 的引脚结构如图:唐唐山山学学院院毕毕业业设设计计10p0 口:p0 口是一个 8 位漏极开路的双向 i/o 口。作为输出口,每位能驱动 8个 ttl 逻辑电平。对 p0 端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,p0 口也被作为低 8 位地址/数据复用。在这种模式下,p0 具有内部上拉电阻。在 flash 编程时,p0 口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。p1 口:p1 口是一个具有内部上拉电阻的 8 位双向 i/o 口,p1 输出缓冲器能驱动 4 个 ttl 逻辑电平。对 p1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。此外,p1.0 和 p1.2 分别作定时器/计数器 2 的外部计数输入(p1.0/t2)和时器/计数器 2 的触发输入(p1.1/t2ex) ,在 flash 编程和校验时,p1 口接收低 8 位地址字节。p2 口:p2 口是一个具有内部上拉电阻的 8 位双向i/o 口,p2 输出缓冲器。能驱动 4 个 ttl 逻辑电平。对 p2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。在访问外部程序存储器或用 16 位地址读取外部数据存储器(例如执行 movx dptr)时,p2 口送出高八位地址。在这种应用中,p2 口使用很强的内部上拉发送 1。在使用 8 位地址(如 movx ri)访问外部数据存储器时,p2 口输出 p2 锁存器的内容。在 flash 编程和校验时,p2 口也接收高 8 位地址字节和一些控制信号。随着计算机技术的高速发展,单片机以其自身的特点,已广泛应用于智能仪器、工业控制、家用电器、电子玩具等各个领域。 图 4-1 stc89c52 单片机的引脚图140p1.0vcc23456789101112131514161719182039383736353334313230292826272524232221p1.1p1.2p1.3p1.4p1.5p1.6p1.7rstp3.0 /rxdp3.1/txdp3.2/int0p3.3/int1p3.4/t0p3.5/t1p3.6/wrxtal2p3.7/rdgndxtal1p0.0p0.3p0.2p0.6p0.5ex_lvd/rst2p0.1p0.4p0.7alenap2.7p2.6p2.5p2.4p2.3p2.2p2.0p2.1401stc89c52唐唐山山学学院院毕毕业业设设计计114.1.3 时钟电路图 4-2 时钟电路xtal1 是片内振荡器的反相放大器输入端,xtal2 则是输出端,使用外部振荡器时,外部振荡信号应直接加到 xtal1,而 xtal2 悬空。内部方式时,时钟发生器对振荡脉冲二分频,如晶振为 11.0592mhz,时钟频率就约为 6mhz。晶振的频率可以在 1mhz-24mhz 内选择。电容取 30pf 左右。stc89c52 中有一个用于构成内部振荡器的高增益反相放大器,引脚 xtal1 和 xtal2 分别是该放大器的输入端和输出端。这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。片外石英晶体或者陶瓷谐振器及电容 c1、c2 接在放大器的反馈回路中构成并联振荡电路。对外接电容 c1、c2 虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容 60pf,晶振采用 11.0592mhz。4.1.4 复位电路at89c51 的外部复位电路有上电自动复位和手动按键复位。上电复位电容充电来实现。手动按键复位又分为按键电平复位和按键脉冲复位。按键电平复位电路是在普通 rc 复位电路的基础上接一个有下拉电阻 10k、上拉电容 10f 接 vcc,电源由开关经串接的 1k 限流电阻至复位脚(和上拉电容并联) ,上拉电容支路负责在“上电”瞬间实施复位;开关通过 1k 上拉电阻和 10k 下拉电阻分压器,保证对单片机实施按键电平复位。电路图如下图所示:唐唐山山学学院院毕毕业业设设计计12图 4-3 复位电路4.2 ad9850 模块4.2.1 ad9850 简介随着数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术,即直接数字频率合成(dds)技术异军突起。美国 ad 公司推出的高集成度频率合成器 ad9850 便是采用 dds 技术的典型产品之一。ad9850 采用先进的 cmos工艺,其功耗在 3.3v 供电时仅为 155mw,扩展工业级温度范围为4080,采用28 脚 ssop 表面封装形式。ad9850 的引脚排列如图 4.6 所示,图 4.7 为其组成框图。中层虚线内是一个完整的可编程 dds 系统,外层虚线内包含了 ad9850 的主要组成部分5。图 4-4 ad9850 管脚排列图唐唐山山学学院院毕毕业业设设计计13图 4-5 ad9850 组成框图ad9850 内含可编程 dds 系统和高速比较器,能实现全数字编程控制的频率合成。可编程 dds 系统的核心是相位累加器,它由一个加法器和一个 n 位相位寄存器组成, n 一般为 2432。每来一个外部参考时钟,相位寄存器便以步长 m 递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中 0360范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动 dac 以输出模拟量。相位寄存器每过 2n/m 个外部参考时钟后返回到初始状态一次,相应地正弦查询表每经过一个循环也回到初始位置,从而使整个 dds 系统输出一个正弦波。输出的正弦波周期 t0=tc2n/ m,频率 fout=mfc/ 2n,tc、fc 分别为外部参考时钟的周期和频率。ad9850 采用 32 位的相位累加器将信号截断成 14 位输入到正弦查询表,查询表的输出再被截断成 10 位后输入到 dac, dac 再输出两个互补的电流8。dac 满量程输出电流通过一个外接电阻 rset 调节,调节关系为:(4-1)setsetrvi248. 132 rset 的典型值是 3.9k。将 dac 的输出经低通滤波后接到 ad9850 内部的高速比较器上即可直接输出一个抖动很小的方波。其系统功能如图 4-11 所示。相 位控制字频 率控制字相位累加器相 位寄存器正 弦查询表微 控 制 器daclpf比较器正弦波输出方波输出唐唐山山学学院院毕毕业业设设计计14ad9850 在接上精密时钟源和写入频率相位控制字之后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在 125mhz 的时钟下, 32 位的频率控制字可使 ad9850的输出频率分辨率达 0.0291hz;并具有 5 位相位控制位,而且允许相位按增量 180、90、45、22.5、11.25或这些值的组合进行调整6。4.2.2 ad9850 的控制字与控制时序ad9850 有 40 位控制字, 32 位用于频率控制,5 位用于相位控制, 1 位用于电源休眠(powerdown)控制, 2 位用于选择工作方式。这 40 位控制字可通过并行方式或串行方式输入到 ad9850,在并行装入方式中,通过 8 位总线 d0d7 将可数据输入到寄存器,在重复 5 次之后再在 fq-ud 上升沿把 40 位数据从输入寄存器装入到频率/相位数据寄存器(更新 dds 输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在 w-clk 的上升沿装入 8 位数据,并把指针指向下一个输入寄存器,连续 5 个 w-clk 上升沿后, w-clk 的边沿就不再起作用,直到复位信号或 fq-ud上升沿把地址指针复位到第一个寄存器7。在串行输入方式,w-clk 上升沿把 25 引脚的一位数据串行移入,当移动 40 位后,用一个 fq_ud 脉冲即可更新输出频率和相位。ad9850 的复位(reset)信号为高电平有效,且脉冲宽度不小于 5 个参考时钟周期。ad9850 的参考时钟频率一般高速 dds8 位*5 并行装入频率/相位数据寄存器数据输入寄存器10 位 dac32 位频率控制字相位控制字并行装入串行装入1 位*40 串行装入参考时钟输入主复位频率更新及数据寄存器复位模拟输出字装入时钟+vs+gnddac rset模拟输入+-clock out/clock out比较器ad9850频率、相位和控制数据输入图 4-6 ad9850 系统功能图唐唐山山学学院院毕毕业业设设计计15远高于单片机的时钟频率,因此 ad9850 的复位(reset)端可与单片机的复位端直接相连8。本设计考虑到数据传输速率的问题,使用并行方式工作,对于串行工作方式只做简单的介绍。4.2.3 单片机与 ad9850 的接口ad9850 有两种与微机并行打印口相连的评估版,并配有 windows 下运行的软件,可以作为应用参考,但运用单片机实现对 dds 的控制与微机实现的控制相比,具有编程控制简便、接口简单、成本低,容易实现系统的小型化等优点,因此普遍采用mcs51 单片机作为控制核心来向 ad9850 发送控制字。单片机与 ad9850 的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式,本文重点介绍其并行方式的接口。并行接口 i/ o 方式的并行接口电路比较简单,但占用单片机资源相对较多,ad9850 的数据线 d0d7 与 p2 口相连, fq_ud 和 w_clk 分别与 p3.0(10 引脚)和 p3.2(11 引脚)相连,reset 与单片机的 p3.1 相连,所有的时序关系均可通过软件控制实现。4.3 滤波电路设计为了使输出的频率不受外界和一些杂波的干扰,需用一个低通滤波器(lpf)滤除高次谐波。常用的滤波器的频率响应有三种:巴特沃斯型(butterworth) ,切比雪夫型 (chebyshev)和椭圆型 (cauer)。其中巴特沃斯滤波器通带最平坦,它的通带内没有纹波,在靠近零频处,有最平坦通带,趋向阻带时衰减单调增大,缺点是从通带到阻带的过渡带最宽,对于带外干扰信号的衰减作用最弱,过渡带不够陡峭,因此它适用于对通带要求较高,而去除的频率离通带较远的情况;切比雪夫滤波器在通带内衰减在零值和一个上限值之间做等起伏变化,阻带内衰减单调增大,带内有起伏,但过渡带比较陡峭;椭圆滤波器不仅通带内有起伏,阻带内也有起伏,而且过渡带陡峭。比较起来,椭圆滤波器性能更好,本设计中采用的是椭圆滤波器10。具体电路图如图 4-9 所示。图 4-7 滤波电路唐唐山山学学院院毕毕业业设设计计164.4 键盘控制模块本设计采用 4*4 数字矩阵键盘对波形的进行控制,扫描的方法确定按键,然后对按键进行处理,因为采用的键盘没有去抖功能,用延时程序来给键盘去抖。4.5 lcd 显示模块现在的字符型液晶模块已经是单片机应用设计中最常用的信息显示器件了。1602 型 lcd 显示模块具有体积小,功耗低,显示内容丰富等特点。4.5.1 液晶显示器显示原理 线段的显示:点阵图形式液晶由 mn 个显示单元组成,假设 lcd 显示屏有64 行,每行有 128 列,每 8 列对应 1 字节的 8 位,即每行由 16 字节,共168=128 个点组成,屏上 6416 个显示单元与显示 ram 区 1024 字节相对应,每一字节的内容和显示屏上相应位置的亮暗对应。例如屏的第一行的亮暗由 ram区的 000h00fh 的 16 字节的内容决定,当(000h)=ffh 时,则屏幕的左上角显示一条短亮线,长度为 8 个点;当(3ffh)=ffh 时,则屏幕的右下角显示一条短亮线;当(000h)=ffh, (001h)=00h, (002h)=00h,(00eh)=00h, (00fh)=00h 时,则在屏幕的顶部显示一条由 8 段亮线和 8 条暗线组成的虚线。这就是 lcd 显示的基本原理11。字符的显示:用 lcd 显示一个字符时比较复杂,因为一个字符由 68 或88 点阵组成,既要找到和显示屏幕上某几个位置对应的显示 ram 区的 8 字节,还要使每字节的不同位为“1” ,其它的为“0” ,为“1”的点亮,为“0”的不亮。这样一来就组成某个字符。但由于内带字符发生器的控制器来说,显示字符就比图 4-8 键盘控制电路唐唐山山学学院院毕毕业业设设计计17较简单了,可以让控制器工作在文本方式,根据在 lcd 上开始显示的行列号及每行的列数找出显示 ram 对应的地址,设立光标,在此送上该字符对应的代码即可。汉字的显示:汉字的显示一般采用图形的方式,事先从微机中提取要显示的汉字的点阵码(一般用字模提取软件) ,每个汉字占 32b,分左右两半,各占16b,左边为 1、3、5右边为 2、4、6根据在 lcd 上开始显示的行列号及每行的列数可找出显示 ram 对应的地址,设立光标,送上要显示的汉字的第一字节,光标位置加 1,送第二个字节,换行按列对齐,送第三个字节直到 32b显示完就可以 lcd 上得到一个完整字符。 4.5.2 1602lcd 引脚与时序字符型液晶显示模块是一种专门用于显示字母、数字、符号等点阵式 lcd,目前常用 16*1,16*2,20*2 和 40*2 行等的模块。下面以长沙太阳人电子有限公司的 1602 字符型液晶显示器为例,介绍其用法。1)1602lcd 的基本参数及引脚功能 1602lcd 分为带背光和不带背光两种,基控制器大部分为 hd44780,带背光的比不带背光的厚,是否带背光在应用中并无差别,两者尺寸差别如下图:图 4-9 lcd 尺寸2)lcd1602 主要技术参数: 显示容量:162 个字符 芯片工作电压:4.55.5v 工作电流:2.0ma(5.0v) 模块最佳工作电压:5.0v 字符尺寸:2.954.35(wh)mm唐唐山山学学院院毕毕业业设设计计183)引脚功能说明1602 型 lcd 可以显示 2 行 16 个字符,有 8 位数据总线 d0d7 和rs,r/w,en 三个控制端口,工作电压为 5v,并且具有字符对比度调节和背光功能6。1602lcd 采用标准的 14 脚(无背光)或 16 脚(带背光)接口,各引脚接口说明如表 表 4- 引脚接口说明表编号符号引脚说明编号符号引脚说明1vss电源地9d2数据2vdd电源正极10d3数据3vl液晶显示偏压11d4数据4rs数据/命令选择12d5数据5r/w读/写选择13d6数据6e使能信号14d7数据7d0数据15bla背光源正极8d1数据16blk背光源负极第 1 脚:vss 为地电源。第 2 脚:vdd 接 5v 正电源。第 3 脚:vl 为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对比度过高时会产生“鬼影” ,使用时可以通过一个 10k 的电位器调整对比度。第 4 脚:rs 为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第 5 脚:r/w 为读写信号线,高电平时进行读操作,低电平时进行写操作。当 rs 和 r/w 共同为低电平时可以写入指令或者显示地址,当 rs 为低电平 r/w为高电平时可以读忙信号,当 rs 为高电平 r/w 为低电平时可以写入数据。第 6 脚:e 端为使能端,当 e 端由高电平跳变成低电平时,液晶模块执行命令。第 714 脚:d0d7 为 8 位双向数据线。第 15 脚:背光源正极。第 16 脚:背光源负极。在实际的接线中,1602 的 db0db7 与单片机的 p0 口相接,rs 与 p3.3 相接,r/w 与 p3.4 相接,e 与 p3.5 相接。vl 与地之间接一个 10k 的滑动变阻器来到唐唐山山学学院院毕毕业业设设计计191602 初始显示的调节。4)1602 液晶模块内部的控制器共有 11 条控制指令,如下表所示表 4-2 控制字说明表序号 指令rs r/w d7d6d5d4d3d2d1d01清显示00000000012光标返回000000001*3置输入模式00000001i/ds4显示开/关控制0000001dcb5光标或字符移位000001s/c r/l*6置功能00001dlnf*7置字符发生存贮器地址0001字符发生存贮器地址8置数据存贮器地址001 显示数据存贮器地址9读忙标志或地址01bf 计数器地址10写数到 cgram 或ddram)10要写的数据内容11从 cgram 或ddram 读数11读出的数据内容1602 液晶模块的读写操作,屏幕和光标的操作都是通过指令编程来实现的。(说明 1 为高电平,0 为低电平)指令 1:清显示,指令码 01h,光标复位到地址 00h 位置指令 2:光标复位,光标返回到地址 00h指令 3:光标和显示位置设置 i/d,光标移动方向,高电平右移,低电平左移,s:屏幕上所有文字是否左移或右移,高电平表示有效,低电平表示无效。指令 4:显示开关控制。d:控制整体的显示开与关,高电平表示开显示,低电平表示关显示。c:控制光标的开与关,高电平表示有光标,低电平表示无光标 b:控制光标是否闪烁,高电平闪烁,低电平不闪烁。指令 5:光标或显示移位 s/c :高电平时显示移动的文字,低电平时移动光标指令 6:功能设置命令 dl:高电平时为 4 位总线,低电平时为 8 位总线 n:低电平时为单行显示,高电平时为双行显示,f:低电平时显示 5x7 的点阵字符,高电平时显示 5x10 的显示字符。指令 7:字符发生器 ram 地址设置。唐唐山山学学院院毕毕业业设设计计20指令 8:ddram 地址设置。指令 9:读忙信号和光标地址 bf:忙标志位,高电平表示忙,此时模块不能接收命令或数据,如果为低电平表示不忙。6.1602lcd 的 ram 地址映射及标准字库表液晶显示模块是一个慢显示器件,所以在执行每条指令之前一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时要先输入显示字符地址,也就是告诉模块在,哪里显示字符,图是 1602 的内部显示地址。例如第二行第一个字符的地址是 40h,那么是否直接写入 40h 就可以将光标定位在第二行第一个字符的位置呢?这样不行,因为写入显示地址时要求最高位d7 恒定为高电平 1 所以实际写入的数据应该是 01000000b(40h)+10000000b(80h)=11000000b(c0h)。 在对液晶模块的初始化中要先设置其显示模式,在液晶模块显示字符时光标是自动右移的,无需人工干预。每次输入指令前都要判断液晶模块是否处于忙的状态。1602 液晶模块内部的字符发生存储器(cgrom)已经存储了 160 个不同的点阵字符图形,比如大写的英文字母“a”的代码是 01000001b(41h) ,显示时模块把地址 41h 中的点阵字符图形显示出来,我们就能看到字母“a” 。4.6 a/d 转换模块信号的幅值是由 ad 转换模块处理得到,由于单片机的口资源有限,我们采用以 pcf8591 芯片为核心的 ad 处理模块。 pcf8591 是一个单片集成、单独供电、低功耗、8-bit cmos 数据获取器件。pcf8591 具有 4 个模拟输入、1 个模拟输出和 1 个串行 i2c 总线接口。pcf8591的 3 个地址引脚 a0, a1 和 a2 可用于硬件地址编程,允许在同个 i2c 总线上接入8 个 pcf8591 器件,而

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论