课程设计----人体脉搏计的设计.doc_第1页
课程设计----人体脉搏计的设计.doc_第2页
课程设计----人体脉搏计的设计.doc_第3页
课程设计----人体脉搏计的设计.doc_第4页
课程设计----人体脉搏计的设计.doc_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、设计说明设计一个人体脉搏计,要求能够实现在30s内测量人的脉搏跳动次数,并且将脉搏次数显示出来。正常人的脉搏数为6080次/min,婴儿为90100次/min,老人为100150次/min。电路原理框图如图1所示。倍频器基准时间产生电路放大与整形计数译码显示器控制电路传感器图1 脉搏计原理框图将脉搏跳动信号转换为对应的电脉冲信号,放大整形后进行二倍频,并在30s(基准时间) 内对此信号计数,便得到了1min脉搏数。二、技术指标1设计人体脉搏计数器并用led显示。2误差为2次/min。三、设计要求1在选择器件时,应考虑成本。2根据技术指标通过分析计算确定电路形式和元器件参数。3主要器件:(1)74ls74双d触发器;(2)74ls47或4ls48译码器;(3) 74ls163计数器;(5)op07等。 四、实验要求1根据技术指标制定实验方案;验证所设计的电路。2进行实验数据处理和分析。五、推荐参考资料1.谢自美. 电子线路设计实验测试. m武汉:华中理工大学出版社,2000年2.阎石. 数字电子技术基础. m北京:高等教育出版社,2006年3.付家才. 电子实验与实践. m北京:高等教育出版社,2004年六、按照要求撰写课程设计报告指导教师 年 月 日负责教师 年 月 日学生签字 年 月 日成绩评定表评语、建议或需要说明的问题:指导教师签字: 日期:成 绩人体脉搏计的设计一、概述脉搏计在实际中的应用非常广泛,它是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,用来测量频率较低的小信号。其原理适用于很多声控器械,它涉及到时序逻辑电路如何设计、分析和工作等方面。通过此电路更深刻的了解时序逻辑部件的工作原理,从而掌握如何根据需要设计满足要求的各种电路图,解决生活中的实际问题,将所学知识应用于实践中。设计任务技术指标;1 要求在规定时间内实现测量人体的脉搏跳动次数。2 设计人体脉搏计数器并用led显示。二、方案说明此方案采用脉搏传感器,74ls160计数器,集成运放放大电路,555构成的多谐振荡器,异或门组成的4倍频电路等电路。脉搏传感器的作用是将脉搏信号转换为响应的电脉冲信号。放大电路多种多样,本次实验采用比较简单、廉价的运放电路。由一个运放器和三个电阻就组成了符合要求的放大电路。放大倍数可调,本次放大倍数大约为11倍。倍频电路要对脉搏进行调频,如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。555定时器是为了试验在规定时间内完成任务。本设计中采用简单的74ls160作为计数器,因为它是十进制计数器无需改装,直接使用。因为脉搏测试器中需要上百位的数字。因此,将三片74ls160直接按并行进位方式连接即的千进制计数器。原理框图如图1所示。倍频器基准时间产生电路放大与整形计数译码显示器控制电路传感器图1 人体脉搏计原理框图三、电路设计1. 传感器本次设计中是利用函数信号发生器,使用正弦波模拟人体脉搏跳动。如图2函数信号发生器。 图2 函数信号发生器辅助时钟信号100hz,占空比50%2. 放大整形电路由一个运放器和三个电阻就组成了符合要求的放大电路。放大倍数可调,本次放大倍数大约为11倍,用一个与非门进行简单的整形。经过实验,可以放大,整形正弦电路。如图3放大整形电路。 图3 放大整形电路3. 倍频电路倍频电路的形式很多,如锁相倍频器、异或门倍频器等,由于锁相倍频器电路比较复杂,成本比较高,所以这里采用了能满足设计要求的异或门组成的4倍频电路。利用第一个异或门的延迟时间对第二个异或门产生作用,当输入由“0”变成“1”或由“1”变成“0”时,都会产生脉冲输出。其中电容c是为了延时,经过测试,当c1=33uf,c2=3.8uf,r4=10k,r1=10k的时候能达到四倍频的要求。如图4倍频电路。图4 倍频电路4. 时间控制电路555定时器是为了试验在规定时间内完成任务,控制电路工作的基准时间。如图5基准时间产生电路。图5 基准时间产生电路5. 计数显示电路将三片74ls160直接按并行进位方式连接即的千进制计数器。三块芯片的enp load clr都为高电平以保证电路的工作。其中第二第三块芯片ent为高电平,第一块芯片ent受555定时器的控制。当555定时器输出为低电平时,74ls160输入端接收到的是高电平,开始计数;输出为高电平时,74ls160接收到的是低电平,停止计数(计数结束)。此时显示的就是15s内的脉冲数了。数码管与74ls160的连接方式如图6计数显示电路。图6 计数显示电路四、性能的测试 按电路图连接好电路,开始仿真测试,信号发生器发出的模拟脉搏信号经过放大整形电路和倍频电路的处理频率变大,由555定时器控制时间电路控制时间,保证电路在规定时间内进行工作,最后计数器上显示的数字即为在该模拟信号下的1分钟内的脉搏数。仿真测试过程如图7所示。图7 系统总仿真五、结论、性价比该方案基本实现了任务书中的要求,即完成了规定时间内测试出脉搏数的基本要求。但在个别单元电路仍然有问题存在,比如控制时间电路即555定时电路的设计方面并不理想,不能较好的控制测试脉搏时间,还有一些细节方面仍然都有问题存在,但总体上实现了题目的要求。在总体设计方面,各个电路之间的联系,以及各个单元电路的连接和设计上较为简单明了,总体结构比较简单,容易理解,虽然控制时间电路即555定时电路有问题存在,不能较好的控制测试脉搏时间,但基本达到了要求。本次设计采用的器件和芯片比较常见,即74ls160计数器,555定时器等都是较为常见的器件,总体来说性价比比较合适。参考文献1 谢自美. 电子线路设计实验测试. m武汉:华中理工大学出版社,2000年2 阎石. 数字电子技术基础. m北京:高等教育出版社,2006年3 付家才. 电子实验与实践. m北京:高等教育出版社,2004年附录i 总电路图附录i 总电路图附录ii 元器件清单序号编号名称型号数量1u1集成块 comparator_virtual12 u3a,u4a,u5a,u6a异或门4070bd 43 u7,u9,u10计数器74ls160 34 a1 555定时器555_virtual 15r1,r2,r3,r4,r5,r

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论