EDA字符及数据类型.ppt_第1页
EDA字符及数据类型.ppt_第2页
EDA字符及数据类型.ppt_第3页
EDA字符及数据类型.ppt_第4页
EDA字符及数据类型.ppt_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第4讲 VHDL运算操作符 l赋值运算符 l逻辑运算符 l关系运算符 l算术运算符 l移位运算符 l并置运算符 VHDL操作符 与其他程序设计语言相似,VHDL中的表达式也是 由运算符将基本元素连接起来形成。 在VHDL语言中共有6类操作符 第4讲 VHDL运算操作符 在VHDL语言中,共有6种逻辑运算符,他们分 别是: NOT 取反; AND 与; OR 或; NAND 与非; NOR 或非; XOR 异或。 逻辑运算符 这6种逻辑运算符可以对 “BIT”和“STD_LOGIC ”等逻 辑型数据、“STD_LOGIC _VECTOR”逻辑型数组及布 尔数据进行逻辑运算。 必须注意: 运算符的左边和右 边,以及代入的信号的数据类 型必须是相同的。 第4讲 VHDL运算操作符 【例1】 SIGNAL a ,b,c : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL d,e,f,g : STD_LOGIC_VECTOR (1 DOWNTO 0) ; SIGNAL h,I,j,k : STD_LOGIC ; SIGNAL l,m,n,o,p : BOOLEAN ; . a= b) ; END example ; 六种关系运 算操作符: “ = ”(等于)、 “/=”(不等于)、 “ ”(大于)、 “=”(大于等于) “1,others=0); -最低位是1,其它位0 第4讲 VHDL运算操作符 即用户自定义操作符,可以与预定义的操作符具有相 同的名称。对已存在的操作符重新定义,可进行不同类型 操作数之间的运算。 例:对一个整数和一个1位的二进制数进行加法运算 function “+” (a: integer; b: bit) return integer is begin if (b=1) then return a+1; else return a; end if; end “+”; 重载操作符 第4讲 VHDL运算操作符 运算符 NOT,ABS,* *,/,MOD,REM +(正号),(负号) +,& SLL,SLA,SRL,SRA,ROL,ROR =,/=,= AND,OR,NAND,NOR,XOR,XNOR VHDL操作符的优先顺序 最高优先级 最低优先级 优先级 运算操作符总汇列表如下: 第4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论