[高等教育]2010级计算机组成原理实验指导书.doc_第1页
[高等教育]2010级计算机组成原理实验指导书.doc_第2页
[高等教育]2010级计算机组成原理实验指导书.doc_第3页
[高等教育]2010级计算机组成原理实验指导书.doc_第4页
[高等教育]2010级计算机组成原理实验指导书.doc_第5页
已阅读5页,还剩95页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

计算机组成原理实验指导书目 录目 录I第一部分 基本单元实验1 1.1算术逻辑运算实验1 一、实验目的1 二、实验内容1 三、实验仪器1 四、实验原理1 五、实验步骤2 六、实验报告4 七、实验思考题4 1.2进位控制实验5 一、实验目的5 二、实验内容5三、实验仪器5 四、实验原理5五、实验步骤5 六、实验报告7 七、实验思考题7 1.3移位运算实验8 一、实验目的8二、实验内容8 三、实验仪器8四、实验原理8 五、实验步骤8 六、实验报告9 七、实验思考题9 1.4存储器实验10 一、实验目的10 二、实验内容10 三、实验仪器10 四、实验原理10 五、实验步骤11 六、实验报告12 七、实验思考题12 1.5总线控制实验13 一、实验目的13 二、实验内容13 三、实验仪器13 四、实验原理13 五、实验步骤13 六、实验报告15 七、实验思考题15 1.6时序实验16 一、实验目的16 二、实验内容16 三、预备知识16 四、实验仪器16 五、实验原理16 六、实验步骤17 七、实验报告18 八、实验思考题18 1.7微程序控制器的组成与微程序设计实验19 一、实验目的19 二、实验内容19 三、实验仪器19 四、实验原理19 五、实验步骤20 六、实验报告25 七、实验思考题25 第二部分 综合实验26 2.1基本模型机实验26 一、实验目的26 二、实验内容26 三、实验仪器26四、实验原理26 五、实验步骤30 六、实验报告33 七、实验思考题33 2.2移位运算模型机实验34 一、实验目的34二、实验内容34 三、实验仪器34 四、实验原理34 五、实验步骤34六、实验报告40 七、实验思考题40 2.3复杂模型机实验41 一、实验目的41-二、实验内容41 三、预备知识41 四、实验仪器42五、实验原理42 六、实验注意事项43 七、实验步骤43 八、实验报告48 九、实验思考题48 第三部分 扩展板实验49 3.1扩展8255并行口实验49 一、实验目的49 二、实验内容49 三、实验仪器49 四、实验原理49 五、实验步骤51 六、实验报告53 七、实验思考题53 3.2扩展8253定时器/计数器实验54 一、实验目的54 二、实验内容54 三、实验仪器54 四、实验原理54 五、实验步骤55 六、实验报告57 七、实验思考题57 3.3 8259中断控制器实验58 一、实验目的58 二、实验内容58 三、实验仪器58 四、实验原理58 五、实验步骤61 六、实验报告64 七、实验思考题64 3.4可重构原理计算机的组成实验65 一、实验目的65 二、实验内容65三、实验仪器65 四、实验原理65 五、实验步骤67 六、实验报告68 七、实验思考题68 3.5基于RISC处理器构成的模型机的设计与实现69一、实验目的69 二、实验内容69 三、实验仪器69 四、实验原理69 五、实验步骤76 六、实验报告78 七、实验思考题78 3.6扩展8251串口通讯实验79 一、实验目的79二、实验内容79 三、实验仪器79 四、实验原理79 五、实验步骤80 六、实验报告83 七、实验思考题83 附录1 实验用芯片介绍84 附录2 联机软件操作说明92 附录3 模块布局图96 附录4 参考文献97 - 20 - -第一部分 基本单元实验1.1算术逻辑运算实验一、实验目的1、掌握简单运算器的组成以及数据传送通路。2、验证运算功能发生器(74LS181)的组合功能。二、实验内容运用算术逻辑运算器进行算术运算和逻辑运算。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干四、实验原理实验中所用的运算器数据通路如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的两个数据输入端分别由两个锁存器(74LS273)锁存,锁存器的输入连至数据总线,数据输入开关(INPUT)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。运算器的输出经过一个三态门(74LS245)和数据总线相连。数据显示灯已和数据总线(“DATA BUS”)相连,用来显示数据总线内容。图1-l 运算器数据通路图图1-2中已将实验需要连接的控制信号用箭头标明(其他实验相同,不再说明)。其中除T4为脉冲信号,其它均为电平控制信号。实验电路中的控制时序信号均已内部连至相应时序信号引出端,进行实验时,还需将S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU_G、SW_G各电平控制信号与“SWITCH”单元中的二进制数据开关进行跳线连接,其中ALU_G、SW_G为低电平有效,LDDR1、LDDR2为高电平有效。按动微动开关PULSE,即可获得实验所需的单脉冲。五、实验步骤l、按图1-2连接实验线路,仔细检查无误后,接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)图1-2 算术逻辑运算实验接线图2、用INPUT UNIT的二进制数据开关向寄存器DR1和DR2置数,数据开关的内容可以用与开关对应的指示灯来观察,灯亮表示开关量为“1”,灯灭表示开关量为“0”。以向DR1中置入11000001(C1H)和向DR2中置入01000011(43H)为例,具体操作步骤如下:首先使各个控制电平的初始状态为:CLR=1,LDDR1=0,LDDR2=0,ALU_G=1,SW_G=1,S3 S2 S1 S0 M CN=111111,并将CONTROL UNIT的开关SP05打在“NORM”状态,然后按下图所示步骤进行。 上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT的触动开关PULSE来产生的。置数完成以后,检验DR1和DR2中存的数是否正确,具体操作为:关闭数据输入三态门(SW_G=1),打开ALU输出三态门(ALU_G=0),使ALU单元的输出结果进入总线。当设置S3、S2、S1、S0、M、CN的状态为111111时,DATA BUS单元的指示灯显示DR1中的数;而设置成101011时,DATA BUS单元的指示灯显示DR2中的数,然后将指示灯的显示值与输入的数据进行对比。3、验证74LS181的算术运算和逻辑运算功能(采用正逻辑)74LS181的功能见表1-1,可以通过改变S3 S2 S1 S0 M CN的组合来实现不同的功能,表中“A”和“B”分别表示参与运算的两个数,“+”表示逻辑或,“加”表示算术求和。表1-1 74LS181功能表S3S2S1S0M=0(算术运算)M=1(逻辑运算)CN=1无进位CN=0有进位0000F=F=A加1F=0001F=F=()加1F=0010F=F=()加1F=0011F=0减1F=0F=0100F=加F=加加1F=0101F=()加F=()加加1F=0110F=减减1F=减F=0111F=减1F=F=1000F=加F=加加1F=1001F=加F=加加1F=1010F=()加F=()加加1F=1011F=减1F=F=1100F=加F=加加1F=11101F=()加F=()加加1F=1110F=()加F=()加加1F=1111F=减1F=F=通过前面的操作,我们已经向寄存器DR1写入C1H,DR2写入43H,即A=C1H,B=43H。然后改变运算器的控制电平S3 S2 S1 S0 M CN的组合,观察运算器的输出,填入表1-2中,并和理论值进行比较、验证74LS181的功能。表l-2 运算器功能实验表DR1DR2S3S2S1S0M=0(算术运算)M=1(逻辑运算)CN=1无进位CN=0有进位C1430000F=F=F=C1430001F=F=F=C1430010F=F=F=C1430011F=F=F=C1430100F=F=F=C1430101F=F=F=C1430110F=F=F=C1430111F=F=F=C1431000F=F=F=C1431001F=F=F=C1431010F=F=F=C1431011F=F=F=C1431100F=F=F=C1431101F=F=F=C1431110F=F=F=C1431111F=F=F=六、实验报告1、在显示结果后将指示灯显示的值与输入的数据进行比较;2、完成表12,比较理论分析值与实验结果值,并对结果进行分析。七、实验思考题1、运算器的功能是什么? 核心部分是什么?1.2进位控制实验一、实验目的验证带进位控制的算术运算功能发生器的功能。 二、实验内容按给定的数据完成几种指定的算术运算。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干四、实验原理进位控制运算器的实验原理如图1-3所示,在实验1.1的基础上增加进位控制部分,其中74LS181的进位进入一个锁存器,其写入是由T4和AR信号控制,T4是脉冲信号,实验时将T4连至“SIGNAL UNIT”的TS4上。AR是电平控制信号(低电平有效),可用于实现带进位控制实验,而T4脉冲是将本次运算的进位结果锁存到进位锁存器中。图l-3 进位控制实验原理图五、实验步骤1、按图1-4连接实验线路,仔细检查无误后,接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)。图1-4 进位控制实验接线图2、进位标志清零。具体操作方法如下:实验板中“SWITCH”单元中的CLR开关为标志位CY、ZI的清零开关,它为0时(开关向上为1,向下为0)是清零状态,所以将此开关做l01操作,即可使标志位CY、ZI清零(清零后CY、ZI指示灯亮)。3、用INPUT UNIT的二进制数据开关向DR1存入11000001,向DR2存入01000011。具体操作步骤如下:首先使各个控制电平的初始状态为:CLR=1,LDDR1=0,LDDR2=0,ALU_G=1,AR=1,SW_G=1,S3 S2 S1 S0 M CN=111111,并将CONTROL UNIT的开关SP05打在“NORM”状态, SP06打在“RUN”状态,SP03打在“STEP”状态,SP04打在“RUN”状态。然后按下图所示步骤进行。 上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT的触动开关START来产生的。4、验证带进位运算及进位锁存功能。进行带进位算术运算:前面的操作已经向DR1、DR2置数,然后关闭数据输入三态门(SW_G=1)并使LDDR2=0,打开ALU输出三态门(ALU_G=0),使ALU单元的输出结果进入总线,当S3 S2 S1 S0 M CN的状态为100101时,DATA BUS指示灯显示的数据为DR1加DR2加当前进位标志得到的结果。这个结果是否产生进位,则要使AR=0,然后按动触动开关START,若进位标志灯CY仍然亮,表示无进位;若进位标志灯CY灭,表示有进位。在本例中DR1为11000001,DR2为01000011,结果为00000100;当AR=0时,按动开关START,CY灭,表示有进位。六、实验报告记录实验数据,总结收获。七、实验思考题1、74LS181能提高运算速度的原因是什么?2、在定点二进制运算器中,减法运算一般通过什么方式实现?1.3移位运算实验一、实验目的验证移位控制的功能。二、实验内容使用一片74LS299来实现移位控制。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干四、实验原理移位运算实验中使用了一片74LS299作为移位发生器,其八位输入/输出端以排针方式和总线单元连接。299_G信号控制其使能端,T4时序为其时钟脉冲,由S1 S0 M控制信号控制其功能状态,列表如下:表1-3 74LS299功能表299_GS1S0M功能000任意保持0100循环右移0101带进位循环右移0010循环左移0011带进位循环左移任意11任意装数五、实验步骤1、按图1-5连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)。图1-5 移位运算实验接线图2、按照如下步骤用INPUT UNIT的二进制数据开关把数据写入74LS299:首先使各个控制电平的初始状态为:299_G=1,SW_G=1,S1 S0 M =111,CLR= l01,并将控制台单元的开关SP05打在“NORM”状态,SP06打在“RUN”状态,SP03打在“STEP”状态,SP04打在“RUN”状态。然后按下图所示步骤进行。上面方括号中的控制电平变化要按照从上到下的顺序来进行,其中T4的正脉冲是通过按动一次CONTROL UNIT的触动开关START来产生的。3、参照前面的表格1-3,改变S0 S1 M 299_G的状态,按动触动开关START,观察移位结果。六、实验报告对照表13,列表记录移位结果。七、实验思考题 1、本实验用到的移位发生器是什么?其功能表是什么?1.4存储器实验一、实验目的1、掌握静态随机存储器RAM工作特性;2、掌握静态随机存储器RAM的数据读写方法。二、实验内容运用静态随机存储器RAM进行单步读、写和连续写数据。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干四、实验原理实验所用的半导体静态存储器电路原理如图1-6所示,实验中的静态存储器由一片6116(2Kx8)构成,其数据线接至数据总线,地址由地址锁存器(74LS273)给出。地址灯LI01LI08与地址总线相连,显示地址内容。INPUT单元的数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。图1-6 存储器实验原理图地址总线为8位,接入6116的地址A7A0,将6116的高三位A8A10接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、OE(读线)、/WE(写线)。本实验中将OE常接地,在此情况,当CE=0、WE=0时进行写操作,CE=0、WE=1时进行读操作,其写时间与T3脉冲宽度一致。实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由“SWITCH”单元的二进制开关给出,其中SW_G为低电平有效,LDAR为高电平有效。五、实验步骤1、形成时钟脉冲信号T3,具体接线方法和操作步骤如下:(1) 将SIGNAL UNIT中的CLOCK和CK,TS3和T3用排线相连。(2) 将SIGNAL UNIT中的两个二进制开关 “SP03”设置为“RUN”状态、“SP04”设置为“RUN”状态(当“SP03”开关设置为“RUN”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。)2、按图1-7连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)。图1-7 存储器实验接线图3、给存储器的00、01、02、03、04地址单元中分别写入数据11、22、33、44、55,具体操作步骤如下:(以向00号单元写入11为例)首先使各个控制电平的初始状态为:SW_G=1,CE=1,WE=1,LDAR=0,CLR= l01,并将CONTROL UNIT的开关SP05打在“NORM”状态,然后按下图所示步骤进行操作。图中方括号中的控制电平变化要按照从上到下的顺序来进行,其中T3的正脉冲是通过按动一次CONTROL UNIT的触动开关START来产生的,而WE的负脉冲则是通过让SWITCH单元的WE开关做l01变化来产生的。4、依次读出第00、01、02、03、04号单元中的内容,在DATA BUS单元的指示灯上进行显示,观察上述各单元中的内容是否与前面写入的一致。具体操作步骤如下:(以从00号单元读出11数据为例) 其中AR的值在ADDR BUS单元的指示灯上显示,RAM相应单元的值在DATA BUS单元的指示灯上显示。六、实验报告1、按实验内容进行单步读、写、连续写。着重写明各开关的状态,并按先后顺序写明操作步骤;2、将存储器的地址和其对应的数据列表记录。七、实验思考题1、静态存储器是靠什么存储信息?动态存储器又是靠什么存储信息?2、静态存储器和动态存储器的优缺点?1.5总线控制实验一、实验目的1、理解总线的概念及其特性;2、掌握总线传输控制特性。二、实验内容改变输入寄存器的地址值,在输出单元的数码管上会显示出该地址下的存储器RAM的值。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干四、实验原理总线是多个系统部件之间进行数据传送的公共通路,是构成计算机系统的骨架。借助总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。因此,所谓总线就是指能为多个功能部件服务的一组公用信息线。地址总线数据总线输入单元地址寄存器寄存器输出单元存储器总线传输实验框图如图1-8所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现总线信息传输。图1-8 总线示意图五、实验步骤1、根据挂在总线上的几个基本部件,设计一个简单的流程:(1)输入设备将一个数写入地址寄存器。(2)输入设备将另一个数写入到存储器的当前地址单元中。(3)将存储器当前地址单元中的数用LED数码管显示。2、按照图1-9实验接线图进行连线,仔细检查无误后,接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)。图 19 总线控制实验接线图3、具体操作步骤图示如下:首先使各个控制电平的初始状态为:SW_G=1,CE=1,WE=1,LDAR=0,299_G(LED_G)=1,PC_G(WE)=1,CLR= l01,并将CONTROL UNIT的开关SP05打在“NORM”状态,然后按下图所示步骤进行。图中方括号中的控制电平变化要按照从上到下的顺序来进行,其中LDAR的正脉冲是通过让SWITCH单元的LDAR开关做010变化来产生的,而WE和PC_G(WE)的负脉冲则是通过让SWITCH单元的WE和PC_G开关做101变化来产生的。 完成上述操作后,在OUTPUT UNIT的数码管上观察结果。六、实验报告改变寄存器的地址值,列表记录存储器的RAM值。七、实验思考题1、什么叫总线?总线控制的方式有哪些?2、画出单总线结构示意图。1.6时序实验一、实验目的1、掌握时序产生器的组成原理和设计思想,提高对基本逻辑部件的分析和设计能力;2、观察、分析和测量实验箱的控制时序,提高实际动手能力;3、增加对系统时序的理解,进一步深化理解计算机的工作原理。二、实验内容通过联机软件的示波器观察控制时序。三、预备知识1、复习有关时序电路的内容;2、弄清实验电路中各部分之间的关系以及信号之间的逻辑关系;3、掌握联机软件的使用方法,参见附录2。四、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干3、8芯鳄鱼夹线 一根4、PC机 一台五、实验原理实验所用的时序电路原理如图1-10所示,可产生4个相位等间隔的时序信号TS1TS4,其中CK为时钟信号,由实验台右上方的方波信号源提供,可产生频率可调的方波信号。实验者可自行选择方波信号的频率(通过调节电位器RW1)。为了便于控制程序的运行,时序电路发生器设置了一个启停控制触发器,使TS1一TS4信号输出可控。图中STEP(单步)、STOP(停机)分别是来自实验板SIGNAL UNIT二进制开关SP03、SP04的状态。START信号来自实验板CONTROL UNIT的一个微动开关START的按键信号。当SP03、SP04开关状态都为RUN时,一旦按下启动键,运行触发器一直处于“1”状态,即原理图中P17一直为“1”,因此时序信号TS1TS4将周而复始地发送出去。当SP03为1(STEP)时,一旦接下启动键,机器便处于单步运行状态。此时只发送一个微指令周期的时序信号就停机。图1-10 时序电路原理图六、实验步骤1、首先按照图1-11进行接线,用8芯鳄鱼夹线将输出信号引入示波器的输入通道。将SP03和SP04开关的状态均设为“RUN”状态,按动START触动开关,时序信号TS1TS4将周而复始地发送出去。2、联机并用联机软件的示波器功能来观察输出波形,这时用联机软件的示波器功能就可以观察到时序信号,将该信号与图112所示波形对比(软件的具体使用方法见附录2中的软件操作说明)。通过调节RW1可以使输出波形的频率在100Hz到300Hz之间变化。(注意:开关单元的拨位开关CLK置为高电平,若采样有失真时请把采样频率调高一些。)图1-11 时序实验接线图图1-12 时序波形参考图七、实验报告1、绘出实验中观察到的波形图;2、给出CK的频率,说明:CK与TS1、TS2、TS3、TS4之间的关系。八、实验思考题1、在示波器上如何确定工作脉冲的先后关系?1.7微程序控制器的组成与微程序设计实验一、实验目的1、掌握微程序控制器的组成原理;2、掌握微程序的编制、写入,观察微程序的运行;3、为整机实验打好基础。二、实验内容编制微程序并观察其运行过程。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验系统 一台2、排线 若干四、实验原理实验所用的时序电路原理可以参考时序实验。由于时序电路的内部线路已经连好(时序电路的CLR已接到实验板中下方的CLR清零开关上),所以只需将时序电路与方波信号源连接即可。1、微程序控制电路微程序控制器的组成见图1-13。其中控制存储器采用3片2816 E2PR0M,具有掉电保护功能。微命令寄存器18位,用两片8D触发器(74LS273)和一片4D(74LS175)触发器组成。微地址寄存器6位,用三片上升沿触发的双D触发器(74LS74)组成,它们带有清“0”端和置“1”端。在不进行判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行判别测试时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器设置为“1”状态,完成地址修改。在该实验电路中,在CONTROL UNIT有一个编程开关SP06,它具有三种状态:WRITE(编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门74LS245,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。2、微指令格式微指令字长24位,其控制位顺序如下:表1-4 微指令结构图微程序242322212019181716151413121110987654321控制信号S3S2S1S0MCNRDM17M16ABPuA5uA4uA3uA2uA1uA0A字段B字段P字段151413控制信号121110控制信号987控制信号00000000001LDRI001RS_G001P1010LDDR1010RD_G010P2011LDDR2011RI_G011P3100LDIR100299_G100P4101LOAD101ALU_G101AR110LDAR110PC_G110LDPCM17M16控制信号00Y001Y110Y211Y3五、实验步骤1、图1-15为几条机器指令对应的参考微程序流程图,将全部微程序按微指令格式变成二进制代码,可得到表1-5的二进制代码表。表1-5 微程序时序控制实验二进制代码表微地址S3 S2 S1 S0 M CNRDM17M16 A B P uA5 uA4 uA3 uA2 uA1uA0 0 00000000110000001000100000 10000000111101101100000100 20000000011000000010010000 30000000011100000000001000 40000000010110000000001010 50000000110100010000001100 61001010110011010000000010 70000000011100000000011011 00000000000010000000000011 10000000111101101100000111 20000000111101101100001111 30000000111101101100011101 40000000111101101100101101 50000001010000010000000011 60000000011100000000011111 70000000010100000000101012 00000000111101101100100102 10000000111101101100101002 20000000010100000000101112 30000000110000000000000012 40000000000100000000110002 50000011100001010000000012 60000000011010001100000012 70000011100001010000100003 0000001101000101000010001其中uA5一uA0为6位的后续微地址,A、B、P为三个译码字段,分别由三个控制位译码出多位。P字段中的Pl一P4是四个测试字位。其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行。AR为算术运算是否影响进位及判零标志控制位,其为零有效。B字段中的RS_G、RD_G、RI_G分别为源寄存器选通信号、目的寄存器选通信号及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选通译码。图1-13 微控制器实验原理图 - 96 -2、按图1-14连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接控制信号时要注意各信号一一对应,可用彩排线的颜色来进行区分)。图1-14 微控制器时序控制实验接线图3、观察微程序控制器的工作原理:(1)编程A、将CONTROL UNIT的编程开关SP06设置为WRITE(编程)状态。B、将实验板上“SIGNAL UNIT”中的“SP03”设置为“STEP”,“SP04”设置为“RUN”状态。SWITCH UNIT的开关CLR置为高电平。C、用SWITCH UNIT的二进制模拟开关设置微地址UA5UA0。D、在MICRO CONTROL单元的开关SM24SM01上设置微代码,24位开关对应24位显示灯,开关量为“1”时灯亮,开关量为“0”时灯灭。E、启动时序电路(按动CONTROL UNIT 的“START” 触动开关),即将微代码写入到E2PR0M 28C16的相应地址对应的单元中。F、重复CE步骤,将表1-5的微代码写入28C16。(2)校验A、将CONTROL UNIT的编程开关SP06设置为READ(校验)状态。B、将实验板“SIGNAL UNIT”中的“SP03”开关设置为“STEP”状态,“SP04”开关设置为“RUN”状态。C、用SWITCH UNIT的二进制开关设置要检验的微地址UA5UA0。D、按动CONTROL UNIT的“START” 触动开关,启动时序电路,读出微代码,观察MICRO CONTROL单元的显示灯LM24LM01的状态(灯亮为“1”,灭为“0”),检查读出的微代码是否与写入的相同。如果不同,则将开关置于“WRITE”编程状态,重新执行(1)即可。 图1-15 微程序流程图(3)单步运行A、将CONTROL UNIT的编程开关SP06置于“RUN(运行)”状态。B、将实验板“SIGNAL UNIT”中的“SP03”开关设置为“STEP”状态,“SP04”开关设置为“RUN”状态。C、操作SWITCH UNIT的CLR开关,使CLR信号状态依次为101,将微地址寄存器74LS74(1)74LS74(3)清零,从而明确本机的运行入口微地址为000000(二进制)。D、按动CONTROL UNIT 的“START”触动开关,启动时序电路,则每按动一次“START”键,读出一条微指令后停机,此时实验台上的微地址显示灯和微命令显示灯将显示所读出的一条指令。注:在当前条件下,将“MICRO CONTROL”单元的SE6SE1接至“SWITCH”中的UA5UA0对应二进制开关上,可通过强置端SE6SE1人为设置分支地址。首先将SE6SE1对应二进制开关设置为“1”,当需要人为设置分支地址时,将需要改变的某个或几个二进制开关设置“0”,相应的微地址位即被强置为“1”,从而改变下一条微指令的地址。(二进制开关设置为“0”,相应的微地址位将被强置为“1”)六、实验报告1、图示微指令格式;2、写出自己编写的微程序;3、记录实验过程,主要写遇到的问题及排除的方法。七、实验思考题1、比较微程序控制器和组合逻辑控制器各有什么优缺点?2、什么叫指令?什么叫微指令?二者有什么关系?第二部分 综合实验2.1基本模型机实验一、实验目的1、在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机;2、本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。二、实验内容根据模型机的指令系统,编写相应的微程序,并上机调试运行,观察并记录结果。三、实验仪器1、ZY15Comp12BB计算机组成原理教学实验箱 一台2、排线 若干3、PC机 一台四、实验原理在第一部分的单元实验中,所有的控制信号是人为用SWITCH单元产生的,但是在实际的CPU中,所有的控制信号都是由CPU自动产生的。所以在本次实验中我们用微程序来控制,自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。本实验设计了五条机器指令,其指令格式如下:助记符机器指令码 说明IN00000000;输入,“INPUT”设备中的开关状态R0ADD addr00010000 XXXXXXXX ;二进制加法,R0addrR0STA addr00100000 XXXXXXXX;存数,R0addrOUT addr00110000 XXXXXXXX;输出,addrBUSJMP addr 01000000 XXXXXXXX;无条件转移,addrPC机器指令码的前4位为操作码。其中IN为单字长,其余为双字长指令,XXXXXXXX为addr对应的二进制地址码。为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。存储器读操作(READ):拨动总清开关CLR后,控制台开关SWB、SWA为“00”时,按START微动开关,可对RAM连续手动读操作。存储器写操作(WRITE):拨动总清开关C

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论