毕业设计(论文)-基于EDA技术的二进制振幅键控调制与解调.doc_第1页
毕业设计(论文)-基于EDA技术的二进制振幅键控调制与解调.doc_第2页
毕业设计(论文)-基于EDA技术的二进制振幅键控调制与解调.doc_第3页
毕业设计(论文)-基于EDA技术的二进制振幅键控调制与解调.doc_第4页
毕业设计(论文)-基于EDA技术的二进制振幅键控调制与解调.doc_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

编号:03063079南阳师范学院2007届毕业生毕业论文(设计)题 目: 基于eda技术的二进制振幅键控调制与解调 完 成 人: 班 级: 2003-03 学 制: 4 年 专 业: 电子信息与科学技术 指导教师: 完成日期: 2007-03-31 目 录摘要 1 引言(1)2 二进制幅度键控2ask(2)2.1 原理与实现方法(2)2.2 2ask信号的功率谱及带宽(4)2.3 2ask系统的抗噪声性能(6)3 总体设计思路(10)4 ask调制方法(11)4.1 ask调制方法(11)4.2ask解调方法(12)5 结 论(13)参 考 文 献.(13)abstract(14)附录(15)2基于eda技术的二进制振幅键控调制与解调作 者:郭增超指导老师:黄义定摘 要:基于eda的种种优势,本文在阐述数字通信中二进制振幅键控信号的调制与解调的基本原理和eda技术及其系统描述设计语言vhdl语言的基本特点和语法结构的基础上,给出了在max+plus开发软件环境下,利用vhdl系统硬件描述语言设计二进制振幅键控信号的调制与解调的具体方法及仿真分析结果,在fpga上进行验证,实现控制功能。关键词:eda技术;二进制振幅键控;调制;解调1 引言数字调制技术是现代通信的一个重要内容在数字通信领域,由于数字信号具有丰富的低频成分。不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制1。数字调制同时也是数字信号分复使用的基本技术。数字调治与模拟调治具有许多类似的特点,一般都是利用调制信号对正弦型载波进行调制,使载波幅度/频率相位发生变化以携带调制信号的信息;两者的主要区别表现在模拟调制是用模拟调制信号去调制载波,而数字调制是用数字信号去调制载波2。但是,与模拟调制系统对比,数字的突出优点之一,是抗干扰噪声能力强。在采用模拟调制的传输系统中,一旦产生失真或引入干扰,且这些干扰的频率又于信号的频谱重叠,则他们对解调信号的影响是难以消除的,而采用数字调制系统,尽管解调信号存在失真或干扰,但只要取样判断电路能正确判定每个码元所代表的是1还是0。就可不失真的重现原信号。振幅键控是数字通信中经常使用的一种调制解调方法。ask方法简单,易于实现,也可异步传输,抗噪声和抗衰性能好。缺点是占用频带较宽,频带利用不够经济。因此ask主要应用于低,中数据传输,以及衰落信道和频带较宽的通信中。以往的振幅键控调制与解调采用“固定功能集成电路+连线”方式设计,集成块多,连线复杂。容易出错,且体积较大。本设计采用vhdl-93语言进行设计,具有良好的可移植性和产品升级的系统性采用altera公司的fpga芯片,有效的缩小了系统的体积。2 二进制幅度键控2ask2.1 原理与实现方法 数字幅度调制又称幅度键控(ask),二进制幅度键控记作2ask。2ask是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。借助于幅度调制的原理,2ask信号可表示为 (1)式中,为载波角频率,为单极性nrz矩形脉冲序列 (2)其中,g(t)是持续时间为、高度为1的矩形脉冲,常称为门函数;为二进制数字 (3)2ask信号的产生方法(调制方法)有两种,如图1所示。图(a)是一般的模拟幅度调制方法,不过这里的s(t)由式(2)规定;图(b)是一种键控方法,这里的开关电路受s(t)控制。图(c)给出了s(t)及的波形示例。二进制幅度键控信号,由于一个信号状态始终为0,相当于处于断开状态,故又常称为通断键控信号(ook信号)。图1 2ask信号产生方法2相应波形2ask信号解调的常用方法主要有两种:包络检波法和相干检测法3。包络检波法的原理方框图如图2所示。带通滤波器(bpf)恰好使2ask信号完整地通过,经包络检测后,输出其包络。低通滤波器(lpf)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。不计噪声影响时,带通滤波器输出为2ask信号,即 (4)包络检波器输出为s(t)。经抽样、判决后将码元再生,即可恢复出数字序列。 (5)相干检测法原理方框图如图3所示。相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。利用此载波与收到的已调信号相乘,输出为图2 ask信号的包络解调图3 ask信号的相干解调经低通滤波滤除第二项高频分量后,即可输出s(t)信号。低通滤波器的截止频率与基带数字信号的最高频率相等。由于噪声影响及传输特性的不理想,低通滤波器输出波形有失真,经抽样判决、整形后再生数字基带脉冲。虽然2ask信号中确实存在着载波分量,原则上可以通过窄带滤波器或锁相环来提取同步载波,但这会给接收设备增加复杂性。因此,实际中很少采用相干解调法来解调2ask信号4。 2.2 2ask信号的功率谱及带宽 前面已经得到,一个2ask信号可以表示成 (6)这里,s(t)是代表信息的随机单极性矩形脉冲序列。现设s(t)的功率谱密度为,的功率谱密度为,则由式(5)可以证得 (7)对于单极性nrz码,有 (8)代入式(6),得2ask信号功率谱 (9)其示意图如图4所示。 由图4可见:(1)2ask信号的功率谱由连续谱和离散谱两部分组成。其中,连续谱取决于数字基带信号s(t)经线性调制后的双边带谱,而离散谱则由载波分量确定。(2)如同双边带调制一样,2ask信号的带宽是数字基带信号带宽的两倍。 (10)图4 2ask的信号频率谱(3)因为系统的传码率(baud),故2ask系统的频带利用率为 (11)这意味着用2ask方式传送码元速率为的二进制数字信号时,要求该系统的带宽至少为2(hz)2.3 2ask系统的抗噪声性能如前所述,通信系统的抗噪声性能是指系统克服加性噪声的能力。在数字系统中它通常采用误码率来衡量。由于加性噪声被认为只对信号的接收产生影响,故分析系统的抗噪声性能只需考虑接收部分。假定信道噪声为加性高斯白噪声n(t),其均值为0、方差为;接收的信号为 (12)(1)包络检测时2ask系统5的误码率 对于包络检测接收系统,其接收带通滤波器bpf的输出为 (13)其中, 为高斯白噪声经bpf限带后的窄带高斯白噪声。经包络检波器检测,输出包络信号 (14)由式(12)可知,发“1”时,接收带通滤波器bpf的输出y(t)为正弦波加窄带高斯噪声形式;发“0”时,接收带通滤波器bpf的输出y(t)为纯粹窄带高斯噪声形式。于是,根据分析,得:发“1”时,bpf输出包络x(t)的抽样值x的一维概率密度函数服从莱斯分布;而发“0”时,bpf输出包络x(t)的抽样值x的一维概率密度函数服从瑞利分布,如图5所示。图5 包络检波时误码率的几何表示x(t)亦即抽样判决器输入信号,对其进行抽样判决后即可确定接收码元是“1”还是“0”。我们规定,倘若x(t)的抽样值,则判为“是1码”;若,判为“是0码”。显然,选择什么样的判决门限电平与判决的正确程度(或错误程度)密切相关。选定的不同,得到的误码率也不同。这一点可从下面的分析中清楚看到。 存在两种错判的可能性:一是发送的码元为“1”时,错判为“0”,其概率记为p(0/1);二是发送的码元为“0”时,错判为“l”,其概率记为p(0/1)。由图5可知 (15) (16)式中,、分别为图5所示阴影面积。假设发送“1”码的概率为p(1),发送“0”码的概率为p(0),则系统的总误码率为 (17)当p(1)=p(0)=1/2,即等概时 (18)也就是说,就是图中两块阴影面积之和的一半。不难看出,当 时,该阴影面积之和最小,即误码率最低。称此使误码率获最小值的门限为最佳门限。采用包络检波的接收系统,通常是工作在大信噪比的情况下,可以证明,这时的最佳门限,系统的误码率近似为 (19)式中,为包检器输入信噪比。由此可见,包络解调2ask系统的误码率随输入信噪比r的增大,近似地按指数规律下降。必需指出,式(18)是在等概、大信噪比、最佳门限下推导得出的,使用时应注意适用条件。(2)相干解调时2ask系统的误码率 2ask信号的相干解调接收系统如图3所示。图中,接收带通滤波器bpf的输出与包络检波时相同,为 (20)取本地载波为,则乘法器输出 (21)将式(20)代入,并经低通滤波器滤除高频分量,在抽样判决器输入端得到 (22)可知,为高斯噪声,因此,无论是发送“1”还是“0”,x(t)瞬时值x的一维概率密度都是方差为的正态分布函数,只是前者均值为a,后者均值为0,即 (23) (24)其曲线如图6所示。 图6 同步检测时误码率的几何表示类似于包络检波时的分析,不难看出:若仍令判决门限电平为,则将“0”错判为“l”的概率p(1/0)及将“1”错判为“0”的概率p(0/1)分别为 (25) (26)式中,分别为图6所示的阴影面积。假设p(1)=p(0),则系统的总误码率为 (27)且不难看出,最佳门限。综合式(23)式(26),可以证明,这时系统的误码率为 (28)式中,为解调器输入信噪比。当时,上式近似为 (29)上式表明,随着输入信噪比的增加,系统的误码率将更迅速地按指数规律下降。必须注意,式(28)的适用条件是等概、最佳门限;式(29)的适用条件是等概、大信噪比、最佳门限。比较式(28)和式(18)可以看出,在相同大信噪比情况下,2ask信号相干解调时的误码率总是低于包络检波时的误码率,即相干解调2ask系统的抗噪声性能优于非相干解调系统,但两者相差并不太大。然而,包络检波解调不需要稳定的本地相干载波,故在电路上要比相干解调简单的多。另外,包络检波法存在门限效应,相干检测法无门限效应。所以,一般而言,对2ask系统,大信噪比条件下使用包络检测,即非相干解调,而小信噪比条件下使用相干解调。3 总体设计思路2fsk信号是在通信技术领域中经常要使用到的一种调制技术,在传统设计中是通过设计专用电路来实现的。由于pld器件具有可重构性的特点,并且现在大多数pld器件速度快、存储容量大,因此完全可以使用eda技术在pld器件上建立2fsk模型。由于本文设计的是一种通信调制技术,只需编写出相应的调制与解调程序,下载到pld器件中即可,因此本文没有硬件电路设计,完全是软件设计。主要设计思路是根据2fsk调制与解调,使用vhdl语言编写相应的程序,编写完成后使用eda软件进行编译、仿真,完全正确后下载到pld器件中。如果以后需要进行修改,只需将修改过的程序再次下载到pld器件中即可。由于是对2fsk调制与解调技术进行通信建模,因此首先需要对2fsk调制与解调的基本原理有一定的了解。由于2fsk信号产生的方法及fsk解调方法有多种,那么就需要进行比较,找出一种适合的方法,然后针对该方法进行程序设计。在设计时首先勾画出2fsk调制与解调的模型框图,然后根据框图对相应的模块进行程序设计。程序编写完成后进行编译,无误后可产生其对应的vhdl建模符号,进行仿真,观察是否与2fsk实际调制与解调情况一致,如果不一致,则对程序进行修改。4 ask调制方法4.1 ask调制方法有两种:乘法器实现法和键控法 乘法器实现法:原理方框图如图7所示,其数字信号与载频为的余弦信号进行混频得到调制信号6;图7 键控法原理图键控法:即按载波的幅度受到数字数据的调制而取不同的值,例如对应二进制0,载波振幅为0;对应二进制1,载波振幅为1。调幅技术实现起来简单,但容易受增益变化的影响,是一种低效的调制技术。(加以说明这里使用键控法)图8 2ask调制vhdl建模方框图建模思路:采用数字载波信号,采用键控法调制,在图8中,数字基带信号作为键控信号控制与门来完成ask调制。图9 ask调制电路的vhdl建模符号图10 ask调制的vhdl程序仿真图4.2 ask解调方法有两种:同步解调法和包络解调法。同步解调法:相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。包络解调法:带通滤波器(bpf)恰好使2ask信号完整地通过,经包络检测后,输出其包络。低通滤波器(lpf)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。(要加以说明。在这里使用同步解调法)图11 2ask解调vhdl建模方框图在图11中分频器的作用是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号;寄存器的作用是在时钟的上升沿到来时把数字ask信号存入寄存器xx;计数器的作用是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ask载波个数进行计数,当计数值m3时,输出为1,反之输出0;判决器的作用是以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号8。图12 ask解调电路的vhdl建模符号图13 ask解调电路的仿真图5 结 论基于eda技术的二进制振幅键控调制与解调ask方法简单,易于实现,也可异步传输,抗噪声和抗衰性能好。本设计采用vhdl语言进行设计,具有良好的可移植性。系统采用altera公司的fpga芯片,有效的缩小了系统的体积。参 考 文 献1李文海.数字通信原理m.人民邮电出版社.20012袁松青.数字通信原理m.人民邮电出版社.9963徐家恺.通信原理教程m.科学出版社.20034j.bhasker.verilog hdl硬件描述语言m.北京:机械工业出版社.20003曾繁泰,陈美金.vhdl程序设计m.北京:清华大学出版社,2001.4潘松.vhdl实用教程m.成都:电子科技大学出版社,2000.5李景华,杜玉远.可编程逻辑器件与eda技术m.沈阳:东北大学出版社,2000.6张昌凡.可编程逻辑器件及vhdl设计技术m.广州:华南理工大学出版社,2001.7于风云.2ask的实践与应用.现代电子技术j.2005年03期8王智忠.幅度调制信号的特性分析及其matlab仿真研究j.安徽理工大学学报(自然科学版).2006年03期9elwyn r. berlekamp.winning ways for your mathematical plays. volume 1. a. k. peters. ltd., 2001 10r.nowakowski (ed) .games of no chance, mathematical sciences research institute publications.no 29, cambridge university press,1996modulation and demodulation of 2ask based on the eda technology guo zengchao abstract:according to eda various advantages,the paper mainly talks about the basic theory of modulation and demodulation of binary frequency shift keying in the digital communication; it also introduces the functions and characteristics of the eda technology and describes the fundamental features and programmatic structures of the language vhdl used in the system. and then the paper advances the specific methods and the simulated analyzing results of designing the modition and demodition of the binary frequency shift keying by using the vhdl language under the circumstance of applying the software max+plus, and downloads to the cpld programmable logic component in, completes the system the control action.keywords: eda; binary amplitude shift keying; range keying (ask); modulation; demodulation 附录:源程序:(1)2ask调制library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ask_tiaozhi is port(clk : in std_logic; -系统时钟 start : in std_logic; -开始调制信号 x : in std_logic; -基带信号 y : out std_logic); -调制信号end ask_tiaozhi;architecture behav of ask_tiaozhi issignal q: integer range 0 to 3; -分频计数器signal f: std_logic; -载波信号beginprocess(clk)beginif clkevent and clk=1 then if start=0 then q=0; elsif q=1 then f=1;q=q+1; -改变q后面数字的大小,可以改变载波信号的占空比 elsif q=3 then f=0;q=0; -改变q后面数字的大小,可以改变载波信号的频率 else f=0;q=q+1; end if;end if

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论