FPGA工程师培训二.pptx_第1页
FPGA工程师培训二.pptx_第2页
FPGA工程师培训二.pptx_第3页
FPGA工程师培训二.pptx_第4页
FPGA工程师培训二.pptx_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

ft工作室 培训二. fpga设计的基本技巧和知识 ft工作室 一.信号与变量(分频实例) 变量:它是一个局部变量,只能在进程和子程 序中使用;变量不能将信息带出对它做出定义 的当前结构中,变量的赋值是一种理想化的数 据传输,是立即发生,不存在任何延时的行 为。变量的主要作用就是在进程中作为临时的 数据存储单元。 信号:它是一个全局变量,作为一个数值容器 ,不仅可以容纳当前值,也可以保持以前的历 史值,也就是说和触发器的记忆功能有很好的 对应关系。 ft 工作室 二.亚稳态 亚稳态是指触发器无法在某个规定时间段内达到一 个可确认的状态。当一个触发器进入亚稳态时,既 无法预测该单元的输出电平,也无法预测何时输出 才能稳定在某个正确的电平上,在这个稳定期间, 触发器输出一些中间级电平,或者可能处于振荡状 态,并且这种无用的输出电平可以沿信号通道上的 各个触发器级联式传播下去。 解决方法:降低系统时钟频率 用反应时间更快的触发器 引入同步机制,防止亚稳态传播 改变时钟质量,用边沿变化快速的时钟信号 ft工作室 三. 复位电路 在fpga设计中,复位电路是及其重要的,因为一个不正确设计的 复位本身可以表现为一个不可重复的逻辑错误.复位电路分为: 异步复位和同步复位. 异步复位:无论时钟沿是否到来,只要复位信号有效,就对系 统进行复位. 优点:1.节省资源(大多数目标器件库的dff都有异步复位端口, 因此采用异步复位可以节省资源) 2.设计相对简单。 3.异步复位信号识别方便,而且可以很方便的使用fpga的 全局复位端口gsr 缺点:1.在复位信号释放的时候容易出现问题。具体就是说:倘 若复位释放时恰恰在时钟有效沿附近,就很容易使寄存器输出 出现亚稳态,从而导致亚稳态。 2.复位信号容易受到毛刺的影响. ft工作室 同步复位:复位信号在时钟沿到来的时候,才能有效,否 则,不复位. 优点:1. 便于系统同步化(同步复位可以使你的系统成为 完全的同步时序电路,便于时序分析,而 fmax一 般较高) 2.便于滤除高频毛刺(他采用clk打一拍可以消除高 频毛刺) 缺点:1. 复位信号的有效时要大于时钟周期,才能真正被 系统识别并完成复位任务。同时还要考虑,诸如:clk skew,组合逻辑路径延时,复位延时等因素 2. 浪费资源(由于大多数的逻辑器件的目标库内的 dff都只有异步复位端口,所以,倘若采用同步复位的 话,综合器就会在寄存器的数据输入端口插入组合逻辑 ,这样就会耗费较多的逻辑资源) ft工作室 fpga设计中复位的处理方法(工程中常用) 异步复位,同步释放 + 复位信号低电平有 效 异步复位,同步释放 : 就是在复位信号 到来的时候不受时钟信号的同步,而是在 复位信号释放的时候受到时钟信号的同 步。 现场操作:编写异步复位电路和同步复位电 路用quartusii软件中的rtl viewer查看电路. vhdl实例: ft工作室 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity rst_module is port( sys_clk : in std_logic; rst_in : in std_logic; rst_out : out std_logic ); end rst_module; architecture behave of rst_module is signal rst_tmp:std_logic; begin process(sys_clk,rst_in) begin if rst_in = 0 then rst_out = 0; rst_tmp=0; elsif rising_edge(sys_clk) then rst_tmp = 1; rst_out=rst_tmp; end if; end process; end behave; ft工作室 四.同步时序电路和异步时序电路 同步时序电路的所有操作都是在同一个时钟严格的控制下 步调一致的完成的,也就是说同步电路的时序电路共用同 一个时钟,而所有的状态变化都是在时钟的上升沿或者下 降沿完成。例如同步复位,就是指复位信号只有在时钟上 升沿是有效电平时,才能达到复位的效果。 异步时序电路主要是组合逻辑电路,电路的工作节奏不一 致,不存在单一的主控时钟,主要是用于产生地址译码 器、fifo和异步ram的读写控制信号脉冲。因为它没有一 个统一的主控时钟,状态变化的时刻是不稳定的。例如异 步复位电路是指无论时钟沿是否到来,只有复位信号有效 ,就对系统进行复位。 ft工作室 五.锁存器与触发器 锁存器是电平触发的存储器. 触发器是边沿触发的存储器。 锁存器对毛刺敏感,不能异步复位,因此在上电后处于不 确定状态。 六.分频器 1.偶数分频: 时钟进行n倍偶数分频可采用如下方法:用待分频的时钟 触发计数器计数,当计数器从0计数到(n/2)-1时,输出时钟 进行翻转,并将计数器复位0,再重新计数,一次循环下去.这 种方法可得到任意的偶数分频.(参考示例:clk_div8) ft工作室 ft工作室 2.奇数分频 时钟进行n倍奇数分频,占空比为1:1.可采用如下 方法:用待分频时钟的上升沿触发模为n的计数器 计数.当计数器从0计数到(n-1)/2时,时钟翻转.再 用待分频时钟的下降沿触发计数器计数,当计数器 从0计数到(n-1)/2时,时钟翻转.然后将两个时钟进 行或运算.可得到占空比为1:1的任意n倍分频.(参 考示例:clk_div5) ft工作室 ft工作室 七.signaltapii的工程应用(当场操作) rom核的应用 ft工作室 八.同步整形电路 fpga的同步电路最具稳定的工作状态和工 作性能。所以经常需要将外部输入的异步 信号进行同步处理(系统时钟同步)和整 形(将输入信号由不规则的波形提取为具 备一个时钟周期长的脉冲信号)。 ft工作室 十一边沿检测

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论