2017毕业论文-基于CPLD的直流电机PWM控制器设计.doc_第1页
2017毕业论文-基于CPLD的直流电机PWM控制器设计.doc_第2页
2017毕业论文-基于CPLD的直流电机PWM控制器设计.doc_第3页
2017毕业论文-基于CPLD的直流电机PWM控制器设计.doc_第4页
2017毕业论文-基于CPLD的直流电机PWM控制器设计.doc_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕业设计题目基于CPLD的直流电机PWM控制器设计摘要直流电机由于具有响应迅速、精度和效率高、调速范围宽、负载能力大、控制性能优良等特点。随着EDA技术的发展,用基于(复杂可编程逻辑器件)CPLD的数字电子系统对电动机进行控制,为实现电动机数字控制提供了一种新的有效方法。该设计介绍了直流电机的PWM调速原理以及CPLD集成芯片。采用CPLD作为中央控制器件,负责信号处理,速度快、可靠性高;使用Verliog HDL语言编写程序更加简单方便。直流电机PWM调速装置具有调速范围宽、低功耗、可实现在线调试等特点。对直流电机PWM调速系统方案的组成、硬件电路设计、程序设计及系统仿真分别进行了详细的叙述。文中还给出了系统的硬件设计原理,给出了具体电路,讨论了各个电路模块实现的功能。论文中利用Modelsim软件对系统进行建模、仿真。本系统是以CPLD为其控制核心,输入电路向CPLD控制系统发出控制命令,以有源晶振构成的时钟电路发出信号。控制系统接收命令后直接向H型桥式驱动电路发出PWM控制信号。输出电路主要实现正反转、启停控制、速度在线可调功能。关键词直流电机;PWM;CPLDIIIAbstractBecause of the rapid response DC motor, precision and high efficiency, wide speed range, load capacity, good control performance and so on. With the development of EDA technology, Complex Programmable Logic Device using CPLD-based digital electronic systems on motor control, digital control to achieve the motor provides a new and effective ways. This design of PWM DC motor speed control principle and the CPLD IC is described in detail. Using CPLD as the central control device, for signal processing, high speed, high reliability; and its much easier to use Verliog HDL for programming. DC Motor PWM Speed Regulator has wide speed range, low power consumption, enabling online debugging and so on. PWM DC motor speed control system on the composition of the program, the hardware circuit design, process design and system simulation were described in detail. The paper also gives the hardware design principle, given a specific circuit, discussed the functions of each circuit module. There using Modelsim software system modeling, simulation. The system is based on CPLD core for its control, control system input circuit to the CPLD control commands issued to active form of the clock oscillator circuit signal. Control system receives the orders directly to the H-bridge driver circuit PWM control signal to issue. Main achieved output circuit rotating, and from stop control, speed-line adjustable features.KeywordsDC; PWM; CPLD目录摘要IAbstractII 第一章 前言11.1 课题的研究目的和意义11.2 课题国内外研究现状21.3 课题研究的主要内容2第二章 直流电机PWM调速系统设计方案32.1 直流电机32.2 直流电机调速原理32.3 直流电机PWM调速方案42.4 CPLD的PWM调速方案52.4.1 串口通信模块62.4.2 转速调节模块62.4.3 PWM产生模块72.4.4 转向调节模块8第三章 控制逻辑Verliog HDL描述93.1 Verliog HDL硬件描述语言93.1.1 概述93.1.2 主要功能93.2 CPLD内部逻辑组成103.3 运行控制逻辑电路描述11第四章 直流电机PWM调速系统仿真134.1 CPLD开发环境的介绍134.2 正/反转与启/停控制仿真134.3 加/减速仿真144.4 仿真结果分析15结论16参考文献17附录118附录218附录321致谢22基于CPLD的直流电机PWM控制器设计第一章 前 言1.1 课题的研究目的和意义电机是一种能量转换的装置,在国民经济中起着重要作用,无论是在工农生产、交通运输、国防宇航、医疗卫生、商务与办公设备,还是日常生活中的家用电器,都大量的使用着各种各样的电机,如汽车、电视机、电风扇、空调等等也离不开电机。同时,在越来越多的应用场合,只能旋转的电机己无法满足要求,而是要求能够实现快速加速、减速或反转以及准确停止等功能。必须寻找新的电机控制器来适应时代的发展。电机的控制器经历了从模拟控制器到数字控制器的发展。由于模拟器件的参数受外界影响大,而且精度也较差。数字控制器与模拟控制器相比较,具有可靠性高、参数调整方便、控制精度高、对环境因素不敏感等优点。随着工业电气化、自动控制和家电产品等领域对电机控制产品的需求,人们对电机控制技术的要求有所提高。随着EDA技术的发展,用基于复杂可编程逻辑器件CPLD的数字电子系统对电机进行控制,为实现电动机数字控制提供了一种新的有效方法。复杂可编程逻辑器件(CPLD)器件集成度高、体积小、速度快,以硬件电路实现算法程序,将原来的电路板级产品集成为芯片级产品,从而降低了功耗,提高了可靠性。电动机调速系统采用CPLD实现数字化控制,是电气传动发展的主要趋势。采用CPLD控制后,整个调速系统能够实现快速加速、减速或正/反转以及准确停止、在线调速等功能,操作维护方便,电动机稳态运转时转速精度可达到较高水平,各项指标均能较好地满足高性能电气传动的要求。由于CPLD的外部连线少,电路简单,便于控制,具有较佳的性能价格比,所以在工业过程及设备控制中得到日益广泛的应用。直流电机大多数采用PWM(脉宽调制)的方法进行控制,它有两种模式:一种是采用模拟电路控制,另一种是采用数字的控制。模拟控制由于其调试复杂等固有原因,正逐渐被淘汰。而在数字控制技术中,CPLD的数字PWM控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点广泛的被人们使用,应而研究CPLD具有十分重要的意义。对于本次设计目的在于:(1)掌握基于CPLD的直流电机PWM控制原理,学会应用VerilogHDL语言进行编程(2)通过对本课题的研究,掌握Modelsim SE开发技术的编程方法,培养创新意识和理论联系实际的学风。熟悉现代电子产品的设计流程。CPLD用于控制领域特别是电机控制还是比较少的,本设计为电机控制系统提供一种的控制方法,在电机控制方面作了一些片内系统的初步研究。本设计采用赛灵斯公司的CollRunner II系列标器件来控制直流电机,讲解了设计流程,分析了现代电子产品的设计方法,并初步研究了CPLD产生PWM信号的方法。本设计将电机控制所使用的一些基本功能尽可能地集成在一片CPLD上,设计论述了利用CPLD对直流电机进行控制时所起的各部分功能PWM波的产生、在线调速、正反向控制逻辑,并利用硬件描述语言对PWM波在CPLD中进行组合逻辑变换,并进行仿真。1.2 课题国内外研究现状在国外,PWM源于上世纪九十年代,其思想源于通信技术,但随着现代电子技术的发展使得PWM理论越来越成熟,其发展的速度越来越快速。已经取代传统的可控硅电机调速系统。由原先的“电机控制”“电气传动”已发展到“运动控制”的新阶段。IGBT、电力MOSFET等为代表的全控型器件的不断完善给PWM控制技术提供了强大的物质基础。在国内PWM有理论基础逐渐成熟,但在应用上,国内外差距也很大。PWM调速系统的应用是近年来才开始的,原因是我国的电子工业的基础比较差。PWM调速系统中所需的关键部件IGBT管靠进口。近年来,我国已开发出具有自主知识产权的IGBT大电流晶体管,从而为该技术推行奠定了物质基础。PWM电机调速方案将会是未来电机拖动系统的首选方案,是实现电机拖动数字控制的基础。1.3 课题研究的主要内容本设计提出基于CPLD的直流电机PWM控制,本设计详细的分析了直流电机的结构、主要技术参数、工作原理、调速原理以及正/反转、启/停原理,基于CPLD的PWM波形产生的过程,并用VerliogHDL描述PWM波形。本设计的所有功能均在Modelsim SE软件下通过仿真。本设计对PWM波形数据进行测试与分析。第二章 直流电机PWM调速系统设计方案2.1 直流电机直流电机由永磁铁、电枢、换向器组成。如图2-1a和2-1b所示,上下两个固定的永磁铁,上面是N极,下面是S极,磁力线从N到S。两极之间是一段可旋转的导体abcd,称为电枢。电枢的ab段和cd段分别连接到两个互不接触的半圆形金属片上,这两个金属片称为换向器。如图2-la所示,在换向器的AB两端上加上一个上正下负的直流电压,电流由a到b,由c到d。根据左手定则,ab段在自上而下的磁力线作用下,向左移动,cd段向右移动。在这两个力的作用下,abcd电枢开始逆时针旋转,因为换向器和电枢固定在一起,它也跟着转动。图2-la直流电机的旋转原理 图2-1b直流电机的旋转原理当电枢转过180时如图2-lb所示,cd段在上方,ab段在下方,电流由d到c,由b到a。根据左手定则,cd段在自上而下的磁力线作用下,向左移动,即电枢继续往逆时针旋转方向旋转,当电枢再转过180后,变回图2-la的情况电机继续重复地转动。如果把AB两端的电压反过来,电枢将顺时针旋转,原理同上。2.2 直流电机调速原理 直流电机机械特性: (2.1)电动机转速的改变时可以通过改变电动机的参数来实现,如果电动机的外加电压(U)、电枢回路中的外阻(R)和磁通()。1 电枢回路串电阻调速通过改变R改变转速n的方法,点数串接电阻调速的经济性不好、调速指标不高、调速范围小,而且调速是有级的,平滑性不高。2 调磁调速通过改变磁通来调节电动机的转速,但调速范围小,通常与其他两种方法结合使用。3 调压调速通过改变电机电枢外加电压来调节转速。采用调压调速时,由于机械特性硬度不变,调速范围大,电压容易做到连续调节,便于实现无级调速,而且调速平滑性好。综合以上所述,本系统设计采用调压调速方法。2.3 直流电机PWM调速方案通用直流电机的转速控制方法有两种:对励磁磁通进行控制的励磁控制法和对电枢电压进行控制的电枢控制法。其中励磁控制法在低速时受到磁极饱和限制,在高速时受到换向火花和换向器结构强度限制,并且励磁线圈电感较大,动感响应较差,所以这种方式现在很少用。现在,大多数应用场合都是用电枢控制法。本设计介绍的方法是在励磁恒定不变情况下,通过调节电枢电压来实现直流调速。对直流电动机电枢电压控制和驱动中,对半导体功率器件的使用分两种形式:线圈放大驱动方式和开关驱动方式。线圈放大驱动方式是使半导体功率器件工作在线性区。优点是:控制原理简单,输出波动小,线性好,对邻近电路干扰小;但是功率器件在线性工作区时会将大部分电功率用于产生热量,效率和散热问题严重,因此这种方式适用于微笑功率直流电机驱动。绝大多数直流电机采用的是开关式驱动方式。半导体功率器件工作在开关状态,通过脉宽调制PWM来控制电枢电压,实现调速。图2-2是利用开关管对直流电动机进行PWM调速控制的原理图。当开关D1的栅极输入高电平时,开关导通,直流电动机电枢绕组两端有电压Us。t1秒后,栅极输入变成低电平,开关管截止,电动机的电枢两端电压值为0。t2秒后,栅极输入变为高电平,开关管的动作重复前面过程。这样,对应着输入电平的高低,直流电动机电枢绕组两端的电压波形如图2-3。图2-2 图2-3电动机的电枢绕组两端的平均电压U0为 (2.2)式中,a占空比。占空比a表示在一个时钟周期T里,开关管导通的时间与周期的比值。当电源电压Us不变时,电枢两端的电压平均值U0取决于占空比a的大小,改变a就可以改变端电压的平均值。由公式(2.2)可知在转矩一定的情况下,电机的转速和电压成正比,这样就达到了预期的调速目的。在PWM调速时,下面三种方法都可以改变占空比的值:定宽调频法。t1保持不变,只改变t2,周期T也随之改变。调宽调频法。t2保持不变,只改变t1,周期T也随之改变。定频调宽法。周期T保持不变,同时改变t1和t2。前两种方法由于在调速时改变了PWM周期,当PWM的频率与被驱动的机械系统的固有率接近时,会引起震荡,因此这两种方法很少用。在当前的直流电机控制中,主要采取第三种方法,定频调宽法。2.4 CPLD的PWM调速方案系统整体设计系统的整体框图如图2-4所示。该系统以芯片为控制核心,通过按键或上位机设定电机速度和PWM占空比,由CPLD的IO口控制直流电机驱动芯片驱动直流电机的转动。转速的测量由码盘完成,速度显示数码管来实现。本系统是基于实现电机的恒速调节而进行设计的。系统分以下几个模块:转速调节模块,脉宽调制(PWM)模块,速度检测模块,串行通信模块。图2-4 系统结构框架图2.4.1 串口通信模块本设计中采取异步串行通信。以一个字符为单位传送,需要CPU与上位机之间事先必须约定字符格式和波特率。设计采用固定的字符传输格式:一位起始位,8位数据,一位停止位。传送顺序是低位在前,高位在后,依次传送。用4倍波特率作为接收采样时钟,并把第8个采样值作为接收数据。在用CPLD实现的异步串行通信电路中采用FIFO进行缓存,并在划分为接收模块、发送模块和接口模块三个功能模块,其中接收模块实现遥测数据的接收、缓存,发送模块实现遥控数据的缓存、发送,接口模块则实现和外部的连接。发送模块要实现对遥控数据缓存,同时按照设计的异步串行通信字符格式将数据串行发送。发送模块包含移位寄存器、实现遥测数据计数的计数器和发送状态机电路等。CPLD模块接收从RS-485发送过来的串行数据,25位为一个字符。该模块分别实现提取八位数据和串行数据发送的功能。当连续输出16个l后,下一个din为O时,开始提取后面的8位有效的数据00101010,然后加上起始位1,停止位O。程序中,波特率可以根据需要通过分频程序进行改动。2.4.2 转速调节模块本系统采用电枢电压控制法,是通过调节PWM触发信号的占空比来改变伺服电机的平均输入电压,从而实现调速的目的。电动机的电枢绕组两端的电压平均值为: (2.3)式中,D为占空比,Us电源电压,D的变化范围为0,1。由式(2.3)可知,当电源电压Us不变的情况下,电枢两端电压的平均值U0取决于占空比的大小,改变D值改变了电枢两端电压的平均值,从而达到控制电动机转速的目的,即实现PWM控制。2.4.3 PWM产生模块脉冲调制PWM 原理:CPLD中的基准计数器,用来产生类似模拟电路中的三角波基准,是一个最小计数值为O、最大计数值为周期寄存器中保存的数值、计数方向交替变化的可逆计数器。同时基准计数器单元产生一个方向信号DIR,可作为外部中断源(边缘触发方式),在PWM开关周期的起始点产生中断。软件设计较简单,在初始化阶段设置好周期寄存器、死区寄存器,以后只需在PWM中断服务程序中将计算好的脉宽数据送到脉宽寄存器,然后退出中断服务程序,等待控制器在触发脉冲控制下将脉宽寄存器的数据锁存到缓冲寄存器中。在下一个周期中输出相应的脉冲,同时中断被触发,便开始了下一个PWM中断服务程序。图2-5为PWM产生原理图。其中H1的占空比: (2.4) (2.5) 可见Hl,L1的占空比D由基准计数器值T和缓存器值hc_buf和死区寄存器值共同决定,通过总线数据或者按键调节分别控制周期,脉宽,死区寄存器的值来调节占空比,控制电机加速、减速。图2-5 PWM产生原理2.4.4 转向调节模块图2-6为转向调节原理图,有两个方向信号和一个使能信号。如果DIRZ信号为0,DIRF信号为l,并且使能信号是1,那么三极管Q1和Q4导通,电流从左至右流经电机,电机正转;如果DIRZ信号变为1,而DIRF信号变为0,那么和Q3将导通,电流则反向流过电机,电机反转。其中Hl,L1,H2,L2是由PWM模块产生的一定占空比的PWM波,用来控制电机转速。图2-6 转向调节原理图23第三章 控制逻辑Verliog HDL描述3.1 Verliog HDL硬件描述语言Verilog HDL 是一种硬件描述语言,用于从算法级、RTL级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可介于简单的门级和完整的电子数字系统之间。数字系统可按层次描述。3.1.1 概述Verilog HDL语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C 编程语言中继承了多种操作符和结构。Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是VerilogHDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。3.1.2 主要功能基本逻辑门,例如and 、or 和nand等都内置在语言中。开关级基本结构模型,例如pmos和nmos等也被内置在语言中。可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式使用过程化。结构建模;数据流方式使用连续赋值语句方式建模;结构化方式使用门和模块实例语句描述建模。 Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。 能够描述层次设计,可使用模块实例结构描述任何层次。设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。Verilog HDL不再是某些公司的专有语言而是I E E E标准。人和机器都可阅读Verilog语言,因此它可作为E D A 的工具和设计者之间的交互语言。 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级。 能够使用内置开关级原语在开关级对设计完整建模。 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。 Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。 在行为级描述中,Verilog HDL不仅能够在RTL级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述。 能够使用门和模块实例化语句在结构级进行结构描述。 对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用。3.2 CPLD内部逻辑组成CPLD主要由可编程I/O单元、基本逻辑单元、布线池和其他辅助功能模块构成。图3-1 CPLD内部结构示意图每个单元简介如下:1 可编程逻辑单元作用与FPGA的基本I/O口相同,但是CPLD应用范围局限性较大,I/O的性能和复杂度与FPGA相比有一定的差距,支撑的I/O标准较少,频率也较低。2 基本逻辑单元CPLD中基本逻辑单元是宏单元。所谓宏单元就是由一些与、或阵列加上触发器构成的,其中“与或”阵列完成组合逻辑功能,触发器用以完成时序逻辑。与CPLD基本逻辑单元相关的另外一个重要概念是乘积项。所谓乘积项就是宏单元中与阵列的输出,其数量标志了CPLD容量。乘积项阵列实际上就是一个“与或”阵列,每一个交叉点都是一个可编程熔丝,如果导通就是实现“与”逻辑,在“与”阵列后一般还有一个“或”阵列,用以完成最小逻辑表达式中的“或”关系。3 布线池、布线矩阵CPLD中的布线资源比FPGA的要简单的多,布线资源也相对有限,一般采用集中式布线池结构。所谓布线池其本质就是一个开关矩阵,通过打结点可以完成不同宏单元的输入与输出项之间的连接。由于CPLD器件内部互连资源比较缺乏,所以在某些情况下器件布线时会遇到一定的困难。由于CPLD的布线池结构固定,所以CPLD的输入管脚到输出管脚的标准延时固定,被成为Pin to Pin延时,用Tpd表示,Tpd延时反映了CPLD器件可以实现的最高频率,也就清晰地表明了CPLD器件的速度等级。4 其他辅助功能模块如JTAG编程模块,一些全局时钟、全局使能、全局复位/置位单元等。3.3 运行控制逻辑电路描述基于CPLD 的直流电机PWM 控制电路主要由三部分组成: PWM 脉宽调制信号产生电路; 工作/停止控制和正/反转方向控制电路; 由功率放大电路和H 桥组成的正反转功率驱动电路。图3-2是PWM控制模块。图3-2 PWM控制模块设定值计数器设置PWM 信号的占空比。首先预定周期寄存器和脉冲宽度寄存器中的周期值和脉冲宽度值。计数器值与脉冲宽度寄存器值进行比较,当计数器值小于脉冲宽度值时,PWM输出保持高电平,否则设为低电平。当预定脉冲宽度值较大时,使设定值计数器的输出值增加,PWM的占空比增加, 电机转速加快;当预定脉冲宽度值较小时, 使设定值计数器的输出值减小,PWM 的占空比减小,电机转速变慢。旋转方向控制电路控制直流电动机转向和启/停, 该电路由两个2选1的多路选择器所组成,Z/F键控制电机的旋转方向:当Z=1时,PWM 输出波形从正端Z 进入H桥,电机正转。当F=1时,PWM输出波形从负端F进入H桥,电机反转。start 键通过“与”门控制PWM的输出,实现对电机的工作/停止控制:当start=1时,与门打开、允许电机工作;当start=0时,与门关闭、电机停止转动。H桥电路由大功率晶体管组成。PWM输出波形通过方向控制电路送到H桥,经功率放大以后驱动电机转动。图3-3是电机正反转和启动停止控制模块。图3-3 正/反与启/停控制模块第四章 直流电机PWM调速系统仿真4.1 CPLD开发环境的介绍Mentor Graphics ModelSim SE 6.2b是业界最优秀的HDL语言仿真器,它提供最友好的调试环境,是唯一的单内核支持VHDL和Verilog混合仿真的仿真器。是作CPLD/ASIC设计的RTL级和门级电路仿真的首选,它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段。全面支持VHDL和Verilog语言的IEEE 标准,支持C/C+功能调用和调试具有快速的仿真性能和最先进的调试能力,全面支持UNIX(包括64位)、Linux和Windows平台。主要特点:RTL和门级优化,本地编译结构,编译仿真速度快;单内核VHDL和Verilog混合仿真;源代码模版和助手,项目管理;集成了性能分析、波形比较、代码覆盖等功能;数据流ChaseX;Signal Spy;C和Tcl/Tk接口,C调试4.2 正/反转与启/停控制仿真 根据输入的Z、F值,与当Z=1时,电机正转;当F=1时,电机反转。start键通过“与”门控制PWM的输出,实现对电机的工作/停止控制。当控制启动开关start=1时, 与门打开,允许电机工作;当star=0时,与门关闭,电机停止转动。程序仿真结果如图4-1所示:图4-1 正/反转与启/停仿真图4.3 加/减速仿真 通过改变脉冲宽度寄存器中的数值来改变输出PWM波形的占空比以达到控制直流电机加减速的目的。图4-2和图4-3分别是占空比为50%与占空比为25%的仿真图形。图4-2 占空比50%脉冲输出波形图4-3 占空比25%脉冲输出波形4.4 仿真结果分析 利用Modelsim软件,使用VerilogHDL语言编写的程序,经过程序仿真,得到输出PWM波行图以及正反转与启/停波形图。其过程简单易控容易操作,而且得到的结果较为精确。并且能够通过程序实现对输出脉宽的占空比在线调节,完成对电机速度的可调控制;同时能够完成直流电机的启停控制与正反向控制。结论通过本次毕业设计,熟悉了并掌握了脉宽调制(PWM)的工作原理以及工作过程,学会并熟练掌握了用VerilogHDL编写程序和建模,对于Modelsim软件的使用更加熟练并能够完成对于系统程序的调试与仿真。经过试验测试,以CPLD作为主控制器,对信号处理速度快、可靠性高,同时外部连接少,电路简单更容易控制,而且PWM调速具有范围宽、低功耗、可实现在线调试等优点。并且通过本次设计完成了直流电机PWM调制完成对电机的加减速、正反转以及启停控制。参考文献1 黄楚芳,陈鸿. 基于FPGA直流电机调速器的实现J. 电子测试, 2009,(02):66-672 陈俊硕,刘景林,张金萍. 基于FPGA的直流电机PWM控制器设计J. 微电机,2009(10)3 郭树涛. 基于FPGA的串口通讯设计J. 北京电子科技学院学报,2006,14(4):74-784 陈桂,万其. 基于FPGA的直流电机速度控制器设计J. 计算机与自动化技术,2005,32 (2) :70-755 王彦. 基于FPGA的工程设计与应用M . 西安电子科技大学出版社, 2007.221-2356 Samir Palnitkar. Verilog HDL A Guide to Digital Design and Synthesis Second EditionM.2004.7 周永宏,肖顺文,宋述义. 直流电机PWM调速设计及其VHDL实现J.西华师范大学学报,2006(4):425-4268 袁本荣,刘万春,贾云得,朱玉文. 用Verilog HDL进行FPGA设计的一些基本方法J. 微计算机信息, 2004,(06) .93-949 韩强,郭环球. 基于FPGA的脉宽调制直流调速系统的设计J. 电机与控制应用, 2008,(8):5210 张岩,宋祥. 基于FPGA的高精度PWM发生器设计与实现J.微计算机信息,2009,(10):162-16311 张云波. PWM信号的软件实现方法J.微计算机信息,2002,(10):53-5412 Bellini A. Mixed mode for high performance stepping motors. IECON Proceedings (Industrial Electronics Conference). 2004:1212-121713 Koutroulis. High - frequency pulse width modulation implementation using FPGA and CPLD ICs. Journal of Systems Architecture. 2006,52(6):332-34414 肖敏. PWM控制的FPGA实现J.绵阳师范学院学报,2009,(05):36-3815 杨恒,卢飞成. FPGA/VHDL快速工程实践入门与提高M.北京:北京航空航天大学出版社,2003:181-20016 爱国,张加胜. 一种数字存储组合式PWM调制方案J.现代电子技术,2004,6:13-1517 Benjamin J Patella ,A leksandar Prodic, Art Zirger. etal High-Frequency Digital PWM controller IC for DC/DC ConvertersJ.IEEE Transactions on Power Electronics,2003,18:438-446 18 Albert M,Wu jinwen,Xiao Dejan. etal Digital PWM control Application in Voltage Regulation ModulesA.IEEE PESC99C,1999:77-83附录1 多路选择器 控制电机的正反转与启动停止程序module zwb(A,B,S,Z,F,start);input A,B,S,start;output Z,F;reg Z,F,y1,y2;always (A or B or S or start) if(S=1) begin y1=A;y2=0; Z=start&y1; F=y2&start; end else begin y2=B;y1=0; F=y2&start; Z=y1&start; endendmodule附录2 PWM调制整体程序module pwm(clk,write_data,cs,write_n,addr,clr_n,read_data;pwm_out); input clk; input 7:0 write_data; input cs; input write_n; input addr; input clr_n; output pwm_out; ouput read_data; reg 7:0 period; reg 7:0 pulse_width; reg 7:0 counter; reg off; wire period_en, pulse_width_en;always (posedge clk or negedge clr_n) begin if (clr_n=0) begin period=8b00000000; pulse_width=8b00000000

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论