基于EDA技术的2位频率计EDA课程设计.doc_第1页
基于EDA技术的2位频率计EDA课程设计.doc_第2页
基于EDA技术的2位频率计EDA课程设计.doc_第3页
基于EDA技术的2位频率计EDA课程设计.doc_第4页
基于EDA技术的2位频率计EDA课程设计.doc_第5页
已阅读5页,还剩6页未读 继续免费阅读

付费下载

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2008级学生EDA课程设计 EDA课程设计报告书课题名称基于EDA技术的2位频率计姓 名 *学 号 081220144院 系物理与电信工程系专 业电子信息工程指导教师* 讲师2011年 6月9日 一、 设计任务及要求:设计任务设计制作一个基于EDA技术的2位频率计,实现频率、周期、脉宽、占空比、相位差等参数的测量。要求 (1)频率计至少能实现两个以上的参数功能测量;(2)测频范围在1Hz50MHz范围内可调,精度误差千分之一;(3)脉冲测试功能:测试范围1us1s,测试精度0.5度;(4)占空比测试功能:测试精度1%99%;(5)相位测试功能:测试范围0360度,测试精度0.5度;(6)输出信号波形无明显失真。指导教师签名: 2011年 6 月9日 二、指导教师评语:指导教师签名: 2011年6月9日 三、成绩验收盖章 2011年6 月9日 基于EDA技术的2位频率计陆文龙(湖南城市学院物理与电信工程系电子信息工程专业,益阳,413000)1设计目的(1)熟悉频率计测量方法。(2)熟悉各进制互相的配合使用。(3)熟悉频率计的设计和制作。(4)熟悉Quartus5.0软件的使用方法。2设计的主要内容和要求 测频一直以来都是电子和通讯系统工作的重要手段之一。高精度的测频仪和频率发生器有着广阔的市场前景。以往的测频仪大都在低频段利用测周的方法、高频段用测频的方法,其精度往往会随着被测频率的下降而下降。该多功能频率计的设计是针对已有测频技术的特点及存在问题,推出基本原理和方法,设计检测精度高、便于实施且设备构成又比较经济的一种检测仪器。如果设计成功的话,可很好地解决各种非标准频率源的比对问题、转测问题等,即可作为高精度频率计,还可以取代各种用途单一的相位测试仪器、校频仪器等。近年,在现代电子系统设计领域中,电子设计自动化已成为重要的设计手段。搭电路,逻辑功能的调试可被EDA中的仿真取代。这样做即可节省时间又能避免不必要的损失。数字频率计的设计,其功能是实现信号的频率、周期、占空比以及脉宽等指标的测量。本设计用到了数字系统设计理论、单片机理论、电子技术等方面的知识。3 整体设计方案3.1设计框图程序总体框图3.1所示 图3.1 程序总体框图主程序流程图3.2所示图3.2 主程序流程3.2单元电路程序流程图与元件3.2.1 10进制计数器此程序用来对时钟信号进行计数。图3.3 10进制计数器library work; library std;library work;library std;library ieee;use ieee.std_logic_1164.all; -用了标准逻辑的IEEE第1164号文件,这个文件包括了entity cnt210 is -定义了实体为 cnt210port(clk:in std_logic; -定义clk为标准的逻辑输入信号 clr:in std_logic;-定义clr为标准的逻辑输入信号 ena:in std_logic;-定义ena为标准的逻辑输入信号 cq:out integer range 0 to 15; carry_out:out std_logic);end entity cnt210;architecture art of cnt210 issignal cqi:integer range 0 to 15;beginprocess(clk,clr,ena)isbeginif clr=1 then cqi=0;elsif clkevent and clk=1 then上升沿有效 if ena=1 thenena使能信号,高电平有效 if cqi9 then cqi=cqi+1;else cqi=0;十进制计数 end if; end if;end if;end process;process(cqi) is begin if cqi=9 then carry_out=1;else carry_out=0;end if;end process;cq=cqi;end architecture art;3.2.2 8 位锁存器library work;library std;library ieee;use ieee.std_logic_1164.all;entity reg8b isport(load:in std_logic; din:in std_logic_vector(7 downto 0); dout:out std_logic_vector(7 downto 0);end entity reg8b;architecture art of reg8b isbeginprocess(load,din) isbeginif loadevent and load=1then dout=din;end if;-8位锁存器end process;end architecture art;图3.4 8位锁存器3.2.3 测控电路信号源library work;library std;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity testctl isport(clk:in std_logic; tsten:out std_logic; clr_cnt:out std_logic; load:out std_logic);end entity testctl;architecture art of testctl issignal div2clk:std_logic;beginprocess(clk) isbeginif clkevent and clk=1 then div2clk=not div2clk; end if;end process;process(clk,div2clk) isbeginif clk=0 and div2clk=0 then clr_cnt=1 ;else clr_cnt=0; end if;end process;load=not div2clk;tstenclk,tsten=se,clr_cnt=sc,load=sl);u1: cnt210 port map(clk=fsin,clr=sc,ena=se, cq=sd(3 downto 0),carry_out=s1);u2: cnt210 port map(clk=s1,clr=sc,ena=se, cq=sd(7 downto 4),carry_out=s2);u9: reg8b port map(load=sl,din=sd(7 downto 0),dout=dout);end architecture art;5系统仿真5.1 十进制计数器仿真图5.1 十进制计数器仿真图时钟信号下降沿计数,计数值从0到9,此为10进制数计数器。由图可知,cq输出值能从09递加,完成了10进制计数功能。5.2 8位锁存器图5.2 8位锁存器仿真图当loda=0,即代表输出被锁存,当load=1时,代表输入转移到输出。如图所示,符合要求。5.3 测控电路信号源图5.3 测控电路信号源仿真图测频开始前,首先发出一个清零信号clr_cnt,使两个计数器和D触发器置0,同时通过信号load,禁止两个计数器计数。这是一个初始化操作。5.4 顶层波形仿真为了验证各个模块间相互衔接是否存在问题,可以进行顶层的波形仿真。具体方法是:去掉显示模块,然后观察每个端口的输出波形。波形图如下:图5.4 顶层波形仿真标准频率信号从fsin的时钟输入端输入,设其频率为Fs;经整形后的被测信号从与BZH相似的32位计数器TF的时钟输入端TCLK输入,设其真实频率值为Fxe;被测频率为Fs。测频原理说明如下:测频开始前,首先发出一个清零信号clr_cnt,使两个计数器和D触发器置0,同时通过信号load,禁止两个计数器计数。这是一个初始化操作。然后由单片机发出允许测频命令,即令预置门控信号load为高电平,这时D触发器要一直等到被测信号的上升沿通过时Q端才被置1,与此同时,将同时启动计数器,进入“计数允许周期”。在此期间,tsten和load分别对被测信号和标准频率信号同时计数。当Tpr秒后,预置门信号被单片机置为低电平,但此时两个计数器仍没有停止计数,一直等到随后而至的被测信号的上升沿到来时,才通过D触发器将这两个计数器同时关闭。dout的宽度和发生的时间都不会影响这样一个事实,计数使能信号允许计数的周期总是恰好等于待测信号fsin的完整周期数,这正是确保TCLK在任何频率条件下都能保持恒定精度的关键。而且,CL宽度的改变以及随机的出现时间造成的误差最多只有BCLK信号的一个时钟周期,如果BCLK由精确稳定的晶体振荡器(100MHZ)发出,则任何时刻的绝对测量误差只有亿分之一秒。被测频率值为Fx,标准频率为Fs,设在一次预置门时间Tpr中对被测信号计数值为Nx,对标准频率信号的计数值为Ns,则下式成立: Fx/Nx=Fs/Ns由此可推得: Fx=(Fs*Nx)/Ns最后通过控制SEL选择信号和64位至8位的多路选择器MUX64-8,将计数器读入dout并按式进行计算和结果显示。6.主要仪器与设备Quartus5.0等软件7.设计总结 我的心得也就这么多了,总之,不管学会的还是学不会的的确觉得困难比较多,真是万事开头难,不知道如何入手。最后终于做完了有种如释重负的感觉。此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。 在此要感谢我的指导老师田旺兰对我悉心的指导,感谢老师给我的帮助。在设计过程中,我通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。在整个设计中我懂得了许多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中探索的艰难和成功时的喜悦。虽然这个设计做的也不太好,但是在设计过程中所学到的东西是这次毕业设计的最大收获和财富,使我终身受益。通过这次实践,我复习了大学三年所学的很多知识,熟悉了很多电子集成电路的工作原理及用途,了解了等精度频率计的市场的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论