(论文)对射式红外线防盗报警器的设计最新优秀毕业论文资料搜集呕血奉献_第1页
(论文)对射式红外线防盗报警器的设计最新优秀毕业论文资料搜集呕血奉献_第2页
(论文)对射式红外线防盗报警器的设计最新优秀毕业论文资料搜集呕血奉献_第3页
(论文)对射式红外线防盗报警器的设计最新优秀毕业论文资料搜集呕血奉献_第4页
(论文)对射式红外线防盗报警器的设计最新优秀毕业论文资料搜集呕血奉献_第5页
已阅读5页,还剩32页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

对射式红外线防盗报警器的设计对射式红外线防盗报警器的设计 摘 要 I 摘 要 本系统是基于 AT89S52 单片机控制的红外线防盗报警器,主要由红外线发射部 分、红外线接收部分、单片机以及声光报警部分组成。可通过发射电路发射的红外线 被遮挡时控制报警系统报警, 通过单片机控制报警电路的运行,并能同时进行声光报 警,用红外线收发管进行检测,安装隐蔽,不易被发现;探测信号采用脉冲信号,节 能且抗干扰。系统可以探测到一定范围内的人的闯入, 可以应用在安防范围比较确定 的情况下。采用这种方法设计的防盗报警器具有成本廉价和探测效果好的优点,有着 广阔的市场前景。 关关 键键 词词:单片机;红外线防盗报警器;声光报警 学士学位论文 II ABSTRACT The system is a infra-red anti-theft alarm controled by single-chip AT89S52, including infra- red part of the launch, part of the infrared receiver, microcontroller, as well as part of sound and light alarm.The system will alarm when infrared ray has been blocked , through the single-chip microcomputer to control the operation of alarm circuit, and at the same time sound and light alarm, with infrared transceiver test tube, install hidden, can not easily be found; detection signal using pulse signal, energy-saving and anti-jamming. This system can detect a certain person within the scope of the intrusion, security can be applied to determine the scope of the case. Designed using this method of anti-theft alarm and detection in a cost- effectiveness of low-cost best advantages, has broad market prospects. KEY WORDS: Single chip microcomputer;Infrared anti-theft alarm; Sound and light alarm 目 录绪论 III 目 录 1 绪论.1 1.1 课题研究的意义1 1.2 课题研究的目的1 2 总体设计方案.3 3 硬件电路各部分电路设计.5 3.1 单片机控制系统电路5 3.1.1 主控芯片的性能以及标准功能.5 3.1.2 主控芯片的主要结构及引脚功能.6 3.1.3 单片机控制部分电路.8 3.2 红外线发射电路8 3.3 红外线接收电路9 3.4 声光报警电路10 3.5 电源电路10 4 红外线防盗报警器的软件设计.11 4.1 系统的主流程11 5 系统的调试及性能分析.13 5.1 系统的调试13 5.2 红外线防盗报警系统的性能分析13 6 报警器误报及处理意见.15 6.1 故障引起的误报及处理意见15 6.2 安装引起的误报及处理意见15 6.3 环境引起的误报及处理意见15 7 结 论.17 致 谢.19 参考文献.21 附 录.23 附录 1-(汇编语言程序)23 附录 2-(系统总体电路)24 附录 3-(电路板实物图)25 即可):Equation Chapter 1 Section 1111 1 绪论 1 1 绪论 在一些电影、电视剧中我们常可以看到,有些博物馆等安全性要求比较高的场 所,在安防电脑系统的屏幕上面,显示着一根根红线,如果有人进入不小心“触” 到了这根红线,那么报警器就会发响。这就是 红外线报警器。 1.1 课题研究的意义 红外线报警器分主动式和被动式两种1。主动式红外线报警器,是报警器主动发 出红外线,红外线碰到障碍物,就会反弹回来,被报警器的探头接收。如果探头监测 到,红外线是静止不动的,也就是不断发出红线线又不断反弹的,那么报警器就不会 报警。当有会动的物体触犯了这根看不见的红线的时候,探头就会检测到有异常,就 会报警。 被动式报警器少了一项功能,就是发射红外线。物理学上告诉我们,当物体的温 度高于 0K 的时候,就会发出红外线,换句话说任何物体都能发出红外线2。而其后 的原理,被动式报警器和主动式是一样的。红外线报警器对温度敏感,温度越高的物 体辐射出的红外线越强,当感应到环境中存在高出背景强度的辐射时,就触发报警。 主动式红外探测器是由收、发装置两部分组成3。发射装置向装在几米甚至于几 百米远的接收装置辐射一束红外线,当被遮断时,接收装置即发出报警信号,因此, 它也是阻挡式报警器,或称对射式探测器。通常,发射装置由多谐振荡器、波形变换 电路、红外发光管及光学透镜等组成。振荡器产生脉冲信号,经波形变换及放大后控 制红外发光管产生红外脉冲光线,通过聚焦透镜将红外光变为较细的红外光束,射向 接收端。 接收装置由光学透镜、红外光电管、放大整形电路、功率驱动器及执行机构等组 成4。光电管将接收到的红外光信号转变为电信号,经整形放大后推动执行机构启动 报警设备。主动式红外报警器有较远的传输距离,因红外线属于非可见光源,入侵者 难以发觉与躲避,防御界线非常明确。主动式红外报警器是点型、线型探测装置,除 了用作单机的点警戒和线警戒外,为了在更大范围有效地防范,也可以利用多机采取 光墙或光网安装方式组成警戒封锁区或警戒封锁网,乃至组成立体警戒区。单光路由 一个发射器和一个接收器组成。 双光路由两对发射器和接收器组成5。两对收、发装置分别相对,是为了消除交 叉误射;多光路构成警戒面;反射单光路构成警戒区。 1.2 课题研究的目的 近年来,随着改革开放的深入发展,电子电器的飞速发展,人民的生活水平有了 很大提高。各种高档家电产品和贵重物品为许多家庭所拥有。然而一些不法分子也是 学士学位论文 2 越来越多。这点就是看到了大部分人防盗意识还不够强.造成偷盗现象屡见不鲜。因 此,越来越多的居民家庭对财产安全问题十分担忧。 现在很多小区都安装了智能报警系统,因而大大提高了小区的安全程度,有效保 证了居民的人身财产安全。由于红外线是不见光,有很强的隐蔽性和保密性,因此在 防盗、警戒等安保装置中得到了广泛的应用。红外报警器大多数采用国外的先进技术,其 功能也非常先进。其中包括被动式热释电型红外报警器。还有红外监控无线报警器, 超声波防盗报警器,红外线防盗报警器,高灵敏红外报警器,触摸式延时防盗报警器, 触摸式防盗报警器,红外报警器, 红外线声先报警器6 防盗报警系统是在探测到防范现场有入侵者时能及时发出报警信号的专用电子系 统,一般由探测器(报警器) 、传输系统和报警控制器组成。探测器检测到意外情况 就产生报警信号,通过传输系统送入报警控制器发出声、光或其他报警信号7。探测 器(报警器)的种类很多,按所探测的物理量的不同,可分为微波、红外、激光、超 声波和振动等方式;按电信号传输方式不同,又可分为无线传输和有线传输两种方式 8。由于红外线是不见光,有很强的隐蔽性和保密性,因此在防盗、警戒等安保装置 中得到了广泛的应用,这时红外线报警器的简易、灵敏度高为人们解决了不少问题。 但是市场上的报警器大部分都是用于一些大公司财政机构,价格高昂,一般人们难以 接受,如果再设计和生产一种价廉、性能灵敏可靠的防盗报警器,必将为大多数需求 者所利用,在人们的防盗和保证财产安全方面发挥更加有效的作用。 本课题尝试用价格低廉、应用普遍的 AT89S52 单片机控制的电路来设计一个主 动式对射式的红外线防盗报警器,期望达到方便、实用的效果。 2 总体设计方案 3 2 总体设计方案 该系统以单片机 AT89S52 系列为核心,采用红外线发射管和红外线接收管为发 射和接收装置,由反相器芯片反相间接控制 CPU 工作。在 CPU 程序运行以后控制输 出口电平使得蜂鸣器器与发光二极管组成的声光报警电路同时进行声光报警。 系统原理框图如图 2-1 所示。 图 2-1 系统方框图 采用 AT89S52 单片机,直流可调开关 MC34063,反相器 74LS14D 等芯片9。其 中,AT89S52 的 P1.2P1.7 为输出口,而 P3.03.5 为输入口。P1 口连接红外线发射电 路,P1 口为低电平时,红外线发射电路导通,正常发射红外线10。P3 口输入经接收 红外线电路接收并由反相器反相的电平,当电平到达单片机 CPU 后,若各口均为低 电平,则 CPU 不做任何反应,此时不报警;而当红外线被认为挡住而使接收电路无 法接受到时 P3 输入口就会输入高电平,此时当在一定的时间内检测到位于不同位置 的光束被遮挡时,由 P3.7 口输出报警信号,驱动声光报警电路进行报警。 电源电路 红外线发射电路 单 片 机 反相器 声光报 警电路 红外接收电路 学士学位论文 4 3 硬件电路各部分电路设计 5 3 硬件电路各部分电路设计 AT89S52 单片机式一种低功耗,高性能的 CMOS8 位微控制器,具有 8K 在系统 可编程 Flash 存储器。使用 Atmel 公司高密度非易失性存储器技术制造,与工业 80S51 产品指令和引脚完全兼容。片上的 Flash 允许程序存储器在系统可编程,亦适 于常规编程器11。在单芯片上拥有灵巧的 8 位 CPU 和在系统可编程 Flash,使得 AT89S52 为众多嵌入式控制应用系统提供高灵活、有效的解决方案。 3.1 单片机控制系统电路 单片机 P1 口与红外线发射电路相连,P3 口与红外线接收电路相连,P3.7 口连接 声光报警电路输出方波脉冲信号驱动声光报警。X1、X2 脚与晶振相连,用于定时计 数,以形成一秒周期的方波脉冲信号12。 3.1.1 主控芯片的性能以及标准功能 主要性能: 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作:0Hz33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器/计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模 标准功能 AT89S52 具有以下标准功能:8K 字节 Flash,256 字节 RAM,32 位 I/O 口线, 看门狗定时器,2 个数据指针,三个 16 位定时器/计数器,一个 6 向量 2 级中断结构, 全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0HZ 静态逻辑操作,支 持两种软件可选择节电模式。空闲模式下,CPU 停止工作,允许 RAM、定时器/计数 器、串口、中断继续工作。掉电保护方式下,RAM 内容被保存,振荡器被冻结,单 片机一切工作停止,直到下一个中断或硬件复位为止15。 学士学位论文 6 3.1.2 主控芯片的主要结构及引脚功能 主体单片机芯片 AT89S52 的引脚结构如图 3-1 所示: 图3-1 系统方框图 各主要管脚介绍如下: VCC : 电源 GND: 地 P0 口:P0 口是一个 8 位漏极开路的双向 I/O 口。作为输出口,每位能驱 动 8 个 TTL 逻辑电平。对 P0 端口写“1”时,引脚用作高阻抗输入。当访问外部程 序和数据存储器时,P0 口也被作为低 8 位地址/数据复用。在这种模式下,P0 具有 内部上拉电阻。在 flash 编程时,P0 口也用来接收指令字节;在程序校验时,输出 指令字节。程序校验时,需要外部上拉电阻。 P1口:P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P1 输出缓冲器能驱 动 4 个TTL 逻辑电平。对 P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以 作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输 出电流(IIL)。 此外,P1.0 和 P1.2 分别作定时器/计数器 2 的外部计数输入 (P1.0/T2)和时器/计数器 2 的触发输入(P1.1/T2EX)。 P2 口:P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱 动 4 个TTL 逻辑电平。对 P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以 作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出 电流(IIL)。 在访问外部程序存储器或用 16 位地址读取外部数据存储器(例如执行 MOVX DPTR) 时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部 上拉发送 1。在使用8 位地址(如 MOVX RI)访问外部数据存储器时,P2 口输出 P2 锁存器的内容。在 flash 编程和校验时,P2 口也接收高 8 位地址字节和一些控制 信号。 3 硬件电路各部分电路设计 7 P3 口:P3 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能 驱动 4 个 TTL 逻辑电平。对 P3 端口写“1”时,内部上拉电阻把端口拉高,此时 可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因, 将输出电流(IIL)。P3 口亦作为 AT89S52 特殊功能(第二功能)使用,在 flash 编程和校验时,P3 口也接收一些控制信号13。如下表3-1所示。 表3-1 P3口的引脚号及其第二功能 RST: 复位输入。晶振工作时,RST 脚持续 2 个机器周期高电平将使单片机复 位。看门狗计时完成后,RST 脚输出 96 个晶振周期的高电平。特殊寄存器 AUXR(地址 8EH)上。 ALE/PROG:地址锁存控制信号(ALE)是访问外部程序存储器时,锁存低 8 位地址的输出脉冲。在 flash 编程时,此引脚(PROG)也用作编程输入脉冲。 在一 般情况下,ALE 以晶振六分之一的固定频率输出脉冲,可用来作为外部定时器或 时 钟使用。然而,特别强调,在每次访问外部数据存储器时,ALE 脉冲将会跳过。 如 果需要,通过将地址为 8EH 的 SFR 的第 0 位置 “1”,ALE 操作将无效。这一位 置 “1”, ALE 仅在执行 MOVX 或MOVC指令时有效。否则,ALE 将被微弱拉高。 这个 ALE 使 能标志位(地址为 8EH 的 SFR 的第 0 位)的设置对微控制器处于外部 执行模式下无效。 PSEN:外部程序存储器选通信号(PSEN)是外部程序存储器选通信号。当 AT89S52 从外部程序存储器执行外部代码时,PSEN 在每个机器周期被激活两次,而 在访问外部数据存储器时,PSEN 将不被激活。 EA/VPP:访问外部程序存储器控制信号。为使能从 0000H 到 FFFFH 的外部程序 存储器读取指令,EA 必须接 GND。为了执行内部程序指令,EA 应该接 VCC。在 flash 编程期间,EA 也接收 12 伏 电压。 XTAL1: :振荡器反相放大器和内部时钟发生电路的输入端14。 XTAL2: :振荡器反相放大器的输出端。 引脚号第二功能 P3.0RXD(串行输入) P3.1TXD(串行输出) P3.2 INT0(外部中断 0) P3.3 INT0(外部中断 0) P3.4T0(定时器 0 外部输入) P3.5T1(定时器 1 外部输入) P3.6 WR(外部数据存储器写选通) P3.7 RD(外部数据存储器写选通) 学士学位论文 8 3.1.3 单片机控制部分电路 如图所示为单片机组成的控制电路,其中晶振与其相连构成时钟电路,而复位开 关与其相连构成单片机小系统。如图 3-2 所示。 图3-2 单片机控制电路电路图 3.2 红外线发射电路 红外线发射电路主要由 D7D12 六个红外线发射管组成。红外发射管的负极依次 接到单片机 P1.2P1.7 口,当电源接通时,单片机的 P1 口设为输出状态,当 P1 口输 出均为“0”时,各个二极管均成导通状态,发出红外光,覆盖包括了接收电路的一 定的区域。正常情况下没有遮挡时 P1 口输出为 00H。红外线发射二极管在使用时, 须由电流驱动, 在进行设计时,最重要的是在 IF电流的控制,设计出的驱动电流 IF 不能太大,若大于 IF(max)则元件有烧毁之虑,IF若太小,则其发射束就会变小。通 3 硬件电路各部分电路设计 9 过查阅资料可知 IF(max)在 20mA 左右,通过计算可得限流电阻的最小值为 200 欧, 本电路选取 470 欧如图 3-3 所示。 图 3-3 红外线发射电路图 3.3 红外线接收电路 红外线接收电路主要由 D1D6 六个红外线接收二极管组成,主要功能是用来接 收 D7D12 发射的红外线,正常情况下能够成功接收,如果有人闯入,中断红外线, 将接受不到红外线,此时判断为应当报警,由单片机控制报警。具体电路连接如下图, D1D6 六个红外线接收管的负极与反相器芯片 74LS14D的A1A6脚,当没有遮挡时接 收管正常接收到红外线时并导通,+5V电源通过 D1D6 的正极加到反相器 74LS14D的 输入端,进行反相为低电平,输出管脚 Y1Y6 接单片机的 P3.0 到 P3.5 脚,这时的 P3.0P3.5 口为低电平:而当有人闯入使红外线被遮挡时,接收管截止,反相器输入 端为低电平,反相后输出高电平,这时的单片机的 P3.0P3.5 口为高电平。当在一定 得时间内检测到位于不同位置的光束被遮挡时,则由 P3.7 口输出高低电平间隔为 1S 的脉冲报警信号16。此脉冲信号驱动声光报警电路,直至断开开关 SW2。如图 3-4 所 示。 学士学位论文 10 图 3-4 红外线接收电路图 3.4 声光报警电路 声光报警电路与单片机 P3.7 口相连,当单片机的 P3.7 口输出一系列脉冲方波, 开始驱动报警电路报警。声光报警部分主要由发光二极管和蜂鸣器组成,当报警开始 时,发光二极管闪烁,蜂鸣器发出声响,声光并用,同时报警17。声光报警部分电路 图如图 3-5 所示。 图3-5 声光报警部分电路图 3.5 电源电路 电源开关 SW1 送入 220V 经过保险丝后送入变压器,保险丝起保护电路的作用18。 变压器 L1 将 220V 的交流电源经磁场耦合转换成 12V 的交流电源,四个全波整流二极 管将 12V 交流源整流为直流 12V 电源提供给后面电路。由电容组成的滤波电路将整流 后的电源进行纹波滤除,滤除频率较高的干扰纹波。再经直流开关电源,转换出 5V 3 硬件电路各部分电路设计 11 电源为后端供电,具体工作过程:经整流、滤波的 12V 电源,经直流开关电源 U1 第 6 脚 供给电源,1、7、8 脚为电源芯片的比较输入端 RA 为大功率限流电阻,电源经芯 片的 2 脚输出,TL1 为功率电感,电源经 TL1 的 2 脚输出。D2 为快速开关二极管。 C1/BC3 组成电容滤波电路。如图 3-6 所示。 图3-6 电源电路部分的电路 学士学位论文 12 4 红外线防盗报警器的软件设计 系统的软件设计,主要集中在单片机功能流程的设计上,要监视是否有人闯入, 红外线接收是否中断、如何控制声光报警等。软件设计的好坏也直接决定了系统的运 行质量,在编写软件之前,对系统的流程进行设计是十分必要的,这样可以保证在编 写软件时思路清晰,不易出错,修改也变得容易。程序流程图的设计遵循自顶向下的 原则,即从主体逐步细分到每一个模块的流程20。 4.1 系统的主流程 (1)下图 4-1 为系统主程序流程图。 主程序、脉冲信号产生程序、中断服务程序存放在 AT89S5 单片机中,整个程序 设计思想是当检测到有人闯入时,就由 P3.7 口输出高低电平间隔为 1 秒的脉冲信号 去驱动声光报警电路。这可以通过使 P3.7 口每隔 1 秒取反一次实现。而 1 秒时间可 以让定时器重复定时 100ms 十次实现。用寄存器 R1 做循环计数器初值为 10。采用中 断方式编程,整个程序由主程序和中断服务程序两部分组成21。 (2)主程序的功能:起监视作用,主要用来判断是否有人闯入,红外线的接收是否 中断. 主程序的流程图如4-1所示.程序开始后,系统初始化结束后判断是否有人闯入, 若有则报警,若无则回到上一级继续判断是否有人闯入。 图 4-1 主程序流程图 开 始 系统初始化 有人闯入? H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m 转向报警程序 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m H / m x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 x =1 4 红外线防盗报警器的软件设计 13 (3)以下是脉冲信号产生程序流程。 主要功能:通过定时 100ms 等待,并连续计时 10 次最终得到一个周期的以 1s 为 周期的方波信号,重复此过程,最终得到一列 1s 周期的方波脉冲信号,最终此列脉 冲信号由单片机 P3.7 口输出与报警电路连接驱动发光二极管以 1s 频率闪动和蜂鸣器 鸣叫报警。其主要流程如图 4-2 所示。 定时 100ms x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 x = 0 等待时间 到吗? 转向报警程序 等待至时间到 NO YES 确定有人闯入 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0.4 图 4-2 方波脉冲信号产生流程图 (4)以下是中断服务程序 主要功能:判断定时1秒是否完成,从而决定是否对P3.7口取反。中断子程序流 程图如图4-3所示。 图 4-3 中断服务程序流程 保护现场 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 0 5 10 15 20 x = 0 x = 0.4 x = 0.8 x =1 H / m 15 20 重设定时初值 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 2 q / L 4 6 2 q / Lh-1 h-1 1S 到了吗? x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x = 0.8 P3.7 取反 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x =1 x =1 x =1 x =1 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x =1 x =1 x =1 x =1 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x =1 x =1 x =1 x =1 x = 0.8 x = 0.8 x = 0.8 x = 0.8 x =1 x =1 x =1 x =1 恢复现场 x = 0 x = 0 x = 0 x = 0 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0 x = 0 x = 0 x = 0 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0 x = 0 x = 0 x = 0 x = 0.4 x = 0.4 x = 0.4 x = 0.4 x = 0 x = 0 x = 0 x = 0 x = 0.4 x = 0.4 x = 0.4 x = 0.4 中断返回 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m 4 6 x =1 H / m x = 0 x = 0.4 x H / m H / m H / m H / m x = 0.4 x = 0 x = 0.4 x H / m H / m H / m H / m x = 0.4 x = 0 x = 0.4 x H / m H / m H / m H / m x = 0.4 x = 0 x = 0.4 x H / m H / m H / m H / m x = 0.4 学士学位论文 14 5 系统的调试及性能分析 5.1 系统的调试 (1) 在Keilc51环境中进行软件调试再利用编程器将调试好的程序固化到89S52 单片机中。 (2)检查线路应焊接无误。 (3)电源电路调试。断开负载用万用表测量78L05的3脚应有+5v电压。 (4)先不装入单片机用短路线把U1插座的12脚接地调整VD1和VD7的安装位 置和角度,测量U1插座的2脚电压。当VD1和VD7之间无遮挡时2脚电压为0伏,有遮挡 时为+5伏。用相同方法反复调整其他几对红外收发管的位置和角度。使U1插座的 3、6、7、8、9各脚的电压符合要求。 (5) 将固化好程序的AT89S52插入电路中的U1插座上接上电源即可工作。 5.2 红外线防盗报警系统的性能分析 此红外线报警系统属于主动式红外线对射防盗报警系统,主要由系统自发发射接 收红外线,由发射电路部分通过红外线发射管发射红外线,然后通过红外线接收电路 中的红外接收管接收红外线,正常的情况下,发射与接收活动正常进行,一旦有人闯 入,使得接收中断,此时就通过线路连接反映给单片机系统电路,通过软件设计部分 来使单片机及时掌握是否有人闯入使红外线接收受阻的信息,从而控制报警器电路的 发光管与蜂鸣器部分同时进行声光报警。以上是该红外线防盗报警系统的主要实现过 程。该系统所使用的都是一些新型实用的芯片,性能优良价格便宜,软件设计方面也 十分简单,适用于开发实用型家庭防盗报警器,且系统适用于一般家庭的 220V 电压, 原理简易,安装和使用都非常方便。 Equation Chapter (Next) Section 1212 5 系统的调试及性能分析 15 学士学位论文 16 6 报警器误报及处理意见 6.1 故障引起的误报及处理意见 产品在规定的条件下、规定的时间内,不能完成规定的功能,称为故障。故障的 类型有损坏性故障和漂移性故障。损坏性故障包括性能全部失效和突然失效。这类故 障通常是由元器件的损坏或生产工艺不良(如虚焊等)造成。漂移性故障是指元器件的 参数和电源电压的漂移所造成的故障。例如:温度过高会导致电阻阻值的变化,此时 设备表现为时好时坏。事实上,环境温度、元件制造工艺、设备制造工艺、使用时间、 储存时间及电源负载等因素都可能导致元器件参数的变化,产生漂移性故障。 无论是损坏性故障还是漂移性故障都将使系统误报警,要减少由此产生的误报警 必须提高产品的设计水平和工艺水平,在作系统设计的同时,还需作可行性设计,如 冗余设计、三防设计(防潮、防盐雾、防霉菌)等。在此基础上,提高产品制造过程的 可行性,如对元器件质量的严格筛选。 6.2 安装引起的误报及处理意见 报警器安装位置、安装角度、防护措施以及系统布线等方面。例如:将被动红外 入侵探测器对着空调、换气扇安装时,将会引起系统的误报警;室外用主动红外探测 器如果不作适当的遮阳防护(有遮阳罩的最好也作防护),势必会引起系统的误报警; 报警线路与动力线、照明线等强电线路间距小于 1.5m 时,而未加防电磁干扰措施, 系统亦将产生误报警。 要减少此类误报,在安装前要准确设定安装位置、安装角度以及系统线路走向, 避免安装红外探测器时面对空调、换气扇,同时若在室外安装应该做好遮阳防护。 6.3 环境引起的误报及处理意见 由于环境引起的误报警是指报警系统在正常工作状态下产生的,从原理上讲是不 可避免的,而事实又是不需要的,属于误报警。例如:老鼠在防范区出没;宠物在居 室内走动等。 随着传感技术、计算机技术的发展,大规模集成电路的推广应用,报警系统智能 化程度将不断提高,环境噪声干扰引起的误报警现象必将随之降低。 6 报警器误报及处理意见 17 Equation Chapter (Next) Section 1313 学士学位论文 18 7 结 论 本人设计的课题是红外线防盗报警器,经过几个月的思考和准备,通过对课题的 设计,大大提高了自己各方面的能力,例如对书本基础知识的掌握程度,对资料的查 找方法,对自己知识面的扩展都不得有相对的提高,但在这其中,也有自己茫然和不 知所措的一面,当初决定做此课题时,不知该从何下手,头脑中也一片空白,后来经 过老师对课题的剖解,头脑中才逐渐有了轮廓。 资料搜集花费了大量时间,在此过程中我了解到采集的资料不能从头至尾的抄写, 而要取己所需,认为有价值的材料才能引用,后来在网上,请教经验丰富的老师,终 于获得了第一手资料,然后在其中加入自己的思想,通过对材料和自己构思的组织整 理,渐渐有了头绪。万事开头难,只要把前段的准备工作做好了,后面的工作自然就 可以顺利很多了。 梅花香自苦寒来,经过几个月不断的修改及创新终于看到了自己的劳动成果,终 于完成了红外线防盗报警器的设计,一种胜利感油然而生。经过这一次设计,体会颇 多,感觉到平时的粗心大意,以及不完善的理论知识让我错过了一次就成功的那种感 觉,而是做了很多次的修改,但在制作电路的过程中感觉到了一种力量,那种力量可 以让我废寝忘食的不断改善调试电路,可以让自己兴奋的久久的为了电路而深思,可 以让自己在深夜写着毕业设计说明书,回顾自己的毕业设计制作过程时,心中油然而 生一阵感慨,有失落,有兴奋,有喜悦,有苦恼,但我觉得它值得我这样去做,因为 它不仅让我有了一次对于电子技术的实践机会,更让我学会怎样去面对制作过程中遇 到的困难,怎么去解决困难,让我学会了独立思考,让我隐隐约约记忆起以前学过的 知识,原来不知道有何实用性的枯燥电路原理的知识,现在我在实际应用却觉得少了 解了好多东西,心中无限后悔。但这次毕业设计给我的是很真、很纯的感受,亲身体 会其制作的艰难路程,这不仅加深了我以前因为种种迷惑不知道的电路知识的认识, 而且为我将来的人生也奠定了一定的基础,相信通过以后的学习锻炼,理论结合实践, 为社会作贡献 7 结 论 19 学士学位论文 20 致 谢 在本次论文的设计过程中,参考了各方面的相关文献资料,使本人对单片机的使 用和设计有了更深刻的认识。为了完成这份论文,指导老师付出了很多心血,给予了 我很大的帮助,设计过程中,他从多方面进行指导,不断对文章提出建议,要求密切 地把理论用于实验加以论证,使设计更具有可靠性,在此,深表感谢。其次,本人要 感谢物理系的所有老师,感谢他们在大学四年里的关心和培养,并使本人掌握了高深 的专业知识和熟练的专业技能。最后,要感谢对这次论文有所帮助的所有老师和同学, 感谢 05 级电子信息科学与技术本科班的全体同学,感谢参考文献中著作与期刊以及外 文资料的所有作者们。 时光如逝,四年的大学生活就快结束了,最后几个月的毕业设计也是对我所学专业 知识、技术、技能各方面的一个总结。由于个人能力有限,因此毕业设计还存在一些 问题,也有很多不完善的地方。但我懂得在以后的工作中要全面考虑事情,不能急于 求成。此次毕业设计是我大学四年最难忘的一件事,也是给各位老师的一个汇报,在 以后的路上,我会更加充满信心向更深处学习,绝对不辜负你们的期望。 致 谢 2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论