ie工业工程_ieee80211a的ofdm基带系统的fpga设计_第1页
ie工业工程_ieee80211a的ofdm基带系统的fpga设计_第2页
ie工业工程_ieee80211a的ofdm基带系统的fpga设计_第3页
ie工业工程_ieee80211a的ofdm基带系统的fpga设计_第4页
ie工业工程_ieee80211a的ofdm基带系统的fpga设计_第5页
已阅读5页,还剩86页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要伴随着无线数据通信与多媒体应用的不断发展,无线传输系统对传输速率与QoS保证等方面的要求也相应地不断提高。正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)作为一种新型的物理层传输技术正越来越受人们的重视,并被视为下一代移动通信(4G)中的关键技术。OFDM继承了传统MCM中多载波并行调制、符号周期相应增长的特点,在OFDM的重叠多载波技术的利用下比传统的非重叠多载波技术节省将近50%的带宽,在循环前缀的辅助下可以实现准确的符号同步,有效地将原本频率选择性衰落的信道转化为多个并行平衰落信道使用,从而OFDM技术具有频谱利用率高、抗干扰能力强等优势,大大提高了传输效率,因此该技术在新一代的通信中备受关注。IEEE802.11a无线局域网(WLAN)标准作为典型的以OFDM为物理层接入方式的应用系统,是OFDM技术的一个典型应用,本文正是以IEEE802.11a无线局域网标准为基础,对OFDM基带处理器的算法,架构进行介绍和分析的基础上,将整个系统的FPGA设计和实现分为多个基本通信模块,并给出每个模块的具体实现。采用自顶向下的FPGA设计方法,在Altera的Quartus II8.1开发环境下,采用Verilog硬件描述语言进行了长短训练序列、扰码、多速率卷积码、交织、16QAM映射、导频插入、IFFT调制、循环前缀与加窗以及主控单元等系统模块的设计;采用Modelsim SE6.5f和Matlab7.0仿真工具对设计系统进行了单元模块仿真和系统仿真,并将设计的OFDM通信发送系统下载到Altera公司的Cyclone II系列EP2C8Q208C8 开发板上进行了系统测试和验证,并依据系统软件仿真和硬件测试的结果进行了分析,其中重点研究分析了加窗与循环前缀对OFDM系统性能的影响。仿真和测试结果表明:基于IEEE802.11a无线局域网标准的OFDM通信发送系统符合该标准各参数要求并能够正确实现长短训练序列、扰码、多速率卷积码、交织、16QAM映射、导频插入、IFFT调制、循环前缀与加窗以及主控单元等各个模块,各个子模块系统软件仿真和整体OFDM通信发送系统测试正确, 下载测试结果正确。关键词:OFDM,FPGA,IEEE 802.11a,IFFT,循环前缀AbstractWith wireless data communication and multimedia application development, the requirements of transfer rate and QOS guarantee need to improve accordingly in the wireless transmission system. OFDM as a kind of new type of the physical layer transmission technology is more and more get the attention of people, and be treated as the key technology of next generation mobile communications (4 G). OFDM inherited the characteristics of multi-carrier parallel modulation Symbols cycle growth of the traditional MCM. Compared with traditional non-overlapping multi-carrier technology, the use of overlapping multi-carrier OFDM technology can save nearly 50% of the bandwidth. using circulation prefix can realize accurate symbol synchronization, change originally frequency selective decline channel into multiple concurrent flat fading channel effectively. so it has a good resistance multipath fading and high spectral utilization efficiency, greatly improving the transmission efficiency. so this technology is the hot research topic in a new generation of communication.IEEE802.11 wireless local area network (WLAN) standard as a typical application system of OFDM physical layer access mode, is a typical technology OFDM application. the paper research and analyse the OFDM baseband processor algorithm and architecture to make the whole system FPGA design and implementation divided into a number of basic communication module, and give each module of the specific implementation basis on wireless LAN IEEE802.11 standard. Using the top-down design method of FPGA, in the Quartus II8.1 of Altera development environment, the Verilog hardware description language (Verilog HDL) has been accomplished to training sequence, scrambler, multi-rate convolution code, interweave, 16QAM mapping, pilot insertion, IFFT modulation, cyclic prefix and windowed and main control unit design of system module. ModelSim SE 6.5f and Matlab7.0 simulation tools have been used to make system design and simulation, and accomplished the designed OFDM communication transmission system to download to the FPGA hardware platform of Cyclone II of Altera and finished the system test. Besides according to the result of system software simulation and hardware test made the analysis, Which focuses on the analysis of widowed and cyclic for the system performance influence of OFDM. The simulation and test results show that: OFDM communication send system meets the standard requirement of the wireless local area network IEEE802.11 standard. Such as raining sequence, scrambler, multi-rate convolution code, interweave, 16QAM mapping, pilot insertion, IFFT modulation, cyclic prefix and windowed and main control unit, each child module system software simulation and the overall OFDM communication send system test right, download test results are correct.Key Words: OFDM,FPGA,IEEE 802.11a,IFFT,Circulation prefix目 录第1章绪论.11.1移动通信系统的发展概况.11.2 IEEE 802.11a标准概述.11.2.1 IEEE 802.11a物理层协议的参数.21.2.2 IEEE 802.11a物理层协议帧结构.4 第2章 IEEE 802.11a物理层的OFDM技术.72.1 OFDM系统的发展状况及特点.72.2 OFDM系统的工作原理.92.2.1 OFDM系统的基本模型.92.2.2 FFT在OFDM系统中的应用.112.3 信道编码技术.122.3.1 扰码原理.122.3.2 卷积码编码.132.3.3 交织编码.162.4 OFDM映射与调制技术.192.4.1映射调制.192.4.2 IFFT实现OFDM调制.222.5 循环前缀与加窗技术.242.5.1 循环前缀.242.5.2 加窗.262.6 OFDM的同步信号.272.6.1符号同步简介.272.6.2 导频插入.282.6.3训练序列的生成.29第3章 基于IEEE 802.11a的OFDM基带系统的FPGA设计.323.1 IEEE 802.11a基带处理器的发射端总体方案设计.333.2基带处理器的工作时钟.353.2.1 工作时钟分析.353.2.2 工作时钟生成模块的实现.363.3基带数据处理单元设计.373.3.1 扰码器模块的实现.373.3.2 多码速卷积码硬件结构与实现.393.3.3 802.11a中的交织器的实现.443.4 OFDM前导序列设计.493.4.1 训练序列生成模块的实现.493.5 映射与OFDM子载波调制.553.5.1 16QAM映射模块的实现.553.5.2 子载波(IFFT)调制模块.573.5.3 导频插入模块的实现.613.6循环前缀与加窗模块的实现.653.7主控模块设计.67第4章 系统测试与结果仿真.714.1系统时钟单元测试.714.2基带数据处理单元仿真测试.714.2.1并串转换模块测试.714.2.2 扰码模块的测试.724.2.3 多码率卷积编码模块测试.724.2.4 交织模块测试.724.3前导序列单元仿真测试.734.3.1短训练序列模块测试.734.3.2长训练序列模块测试.734.4映射与调制单元仿真测试.744.4.1 16QAM映射模块测试.744.4.2 导频插入模块测试.754.4.3 IFFT调制模块测试.774.5 循环前缀仿真测试.774.6 主控模块测试.784.7 OFPM发送系统整体仿真测试.78结论.80参考文献.81附录.82致谢.83第1章绪论1.1移动通信系统的发展概况移动通信越来越广泛地渗透到人们的日常生活和经济生活中, 并成为世界各国最主要的高新技术支柱产业之一同时人们对移动通信的各种需求与日俱增, 也推动了移动通信的飞速发展。从20 世纪80 年代中期第一代移动通信以模拟调频、频分多址为主体技术,包括以蜂窝网系统为代表的公用移动通信系统、以集群系统为代表的专用移动通信系统以及无线电话,主要向用户提供模拟话音业务。90 年代初第二代移动通信系统(2G)以数字传输、时分多址或码分多址为主体技术,简称数字移动通信,包括数字蜂窝系统、数字无线电话系统和数字集群系统等,主要向用户提供数字话音业务和低速数据业务,支持电路交换,其应用范围遍及世界。90 年代末的第2.5 代移动通信系统(2.5G) , 主要以通用分组无线业务(GPRS) 、高速电路交换数据业务(HSCSD) 及增强数据速率的全球演进技术(EDGE) 为代表, 提高了无线数据传输率和网络容量。直至今天第三代移动通信系统(3G)以CDMA为主要技术,向用户提供2Mb/S到10Mb/s的多媒体业务,步行环境下支持384 kbit/s的速率、车载环境下支持144 kbit/s的速率,带宽可达5MHz以上。2010年10月国际电信联盟最终确定为4G的国际标准,推动了第四代移动通信的研究和开发,4G标准采用OFDM和多天线等新技术,以移动数据为主,面向移动因特网的高速移动通信网络,传输速率比现在高50倍,将向用户提供100Mb/s甚至1Gb/s的数据速率,发射功率比现在降低10到100倍,能解决电磁干扰问题; 支持手机互助功能,采用可穿戴无线电; 支持更丰富的移动业务, 包括高清晰度图像业务、会议电视、虚拟现实业务等, 使用户在任何地方都可以获得任何所需的信息服务,具有良好的发展前景。相信在未来的几十年内无线通信仍是通信发展的前沿。随着蜂窝电话的快速增长,可以预计无线通信的未来是光明的。1.2 IEEE 802.11a标准概述11999年9月,IEEE工作组批准了IEEE 802.11a标准,它的工作频率是5GHz频段,该标准的物理层采用OFDM技术作为其调制方式,提供654Mb/s的数据速率。在5GHz频段内,美国联邦通信委员会(Federal Communications Commission, FCC)分配了3个100MHz的带宽作为免授权国家信息基础设施(Unlicensed National Information Infrastructure, U-NII)频段使用,以提供快速开通的高速无线数据通信,且每一个工作区域的最大输出功率不同。工作在5GHz频段的IEEE 802.11a标准与工作在2.4GHz频段的IEEE 802.11标准相比,不会受到采用2.4GHz频段的蓝牙、微波以及工业设备的干扰,因此在IEEE802.11a协议下能够可靠的传输数据,IEEE 802.11a最高支持54Mb/s的数据传输率。IEEE 802.11a的介质访问控制(MAC)层包含中心控制(Point Coordination Function, PCF)和分布控制(Distribution Coordination Function, DCF)两种工作方式,前者支持无竞争型实时业务和竞争型非实时业务,而后者只支持竞争型非实时业务。两种工作模式共享带宽形成超帧结构,IEEE 802.11a MAC 使用超帧实现PCF和DCF两种工作方式的共存。PCF工作在无竞争期,DCF工作在竞争期。在超帧开始时,如果信道空闲,PCF通过帧优先权机制和信标帧发布网络分配矢量NAV获得信道访问权,否则PCF延迟到检测信道空闲时间大于PIFS(PCF Inter Frame Sapces)才能获得信道访问权。因此,超帧中CFD的起始点是可变的,这时DCF业务自动延迟到PCF之后的信道。IEEE 802.11a标准使用的介质访问控制机制(MAC)与IEEE 802.11一样,但该标准的设备不能与工作在2.4GHz频段的IEEE 802.11标准设备进行无线通信。1.2.1 IEEE 802.11a物理层协议的参数表1.1所示为IEEE 802.11a物理层OFDM技术标准的主要参数,其中一个重要的参数是长度为800ns的保护间隔。根据编码效率和调制方式,这一保护间隔可以容忍几百ns的均方根(RMS)时延扩展,这就意味着这一选择方案可以用于任何室内环境,而且可通过采用定向天线降低延时扩展,还可以用于室外环境,以满足无线局域网的使用要求。表1.1 IEEE 802.11a WLAN PHY层标准主要参数参数数值数据速率(Mb/s)6、9、12、18、24、36、48、54调制方式BPSK、QPSK、16QAM、64QAM编码效率1/2、2/3、3/4子载波数量52导频数量4OFDM符号长度(s)24保护间隔(s)800子载波间隔(kHz)312.5信号带宽(MHz)16.66信道间隔(MHz)20其他参数以保护间隔长度为基础进行定义。为了把保护间隔所占用的功率减小到1dB,OFDM符号长度定为4s,除去保护间隔(GI)外有效数据部分的长度为3.2s,进而可得子载波间隔为1/3.2s =312.5kHz,信号带宽为312.5kHz 52 = 16.66MHz,信道间隔为312.5MHz 64 =20MHz。IEEE 802.11a标准采用48个并行子载波进行数据传输。除了这48个传输数据的子载波外,每个OFDM符号还包括4个导频子载波,可用于接收机处理器在初始频率校正之后跟踪剩余的载波频率偏差,也可用于信道估计和采样频率偏差估计。为了兼顾传输效率与可靠性的要求,系统可以根据信道的状况采用不同效率的纠错编码,在各个子载波间进行信道编码,再配合不同的调制方式即可获得654Mb/s的编码数据速率如:采用48个并行子载波进行数据传输,当调制方式为BPSK或16QAM,编码效率都3/4时,提供的编码速率分别为481x= 9Mb/s和484= 36Mb/s。其中基本的编码方式的约束长度为7、编码效率为1/2的卷积编码(Convolutional Encoding),再通过对1/2编码方式进行删余(Puncturing)操作,可以获得码率为2/3和3/4的卷积编码。1/2码率的编码与BPSK、QPSK、16QAM调制分别可以提供6、12、24Mb/s的数据传输速率;2/3码率的编码与64QAM一起可以提供48Mb/s的数据传输速率;此外,3/4码率的编码与BPSK、QPSK、16QAM和64QAM调制方式分别可以提供9、18、36和54Mb/s传输速率。表1.2所示为调制方式、编码方式与数据速率的对应关系。表1.2 调制方式、编码方式与数据速率的对应关系数据速率(Mb/s)调制方式编码效率编码比特/子载波编码比特/OFMD符号数据比特/OFMD符号6BPSK1/2148249BPSK3/41483612QPSK1/22964818QPSK3/42963722416QAM1/24192963616QAM3/441921444864QAM2/362881925464QAM3/46288216IEEE 802.11a中使用了52个子载波(实际上应为53个,其中k=0处的直流子载波上不传输符号),由于IFFT算法基于2N点,故采用64点的IFFT。53个子载波在频率分配时分别在编号低端和高端留有6个和5个空符号,即k=-32,-27,27,31,这样就可以保证系统的子载波频谱集中,从而使得系统占用的频谱带宽尽可能窄,以节约频谱资源,减少信道间干扰。所以,52个非零子信道映射到64点输入的IFFT当中应按照图1.1所指定的方式,把子信道126映射到相同标号的IFFT输入端口;而子信道-26-1被映射到3863的IFFT输入端口;其余的IFFT输入口,即2737输入空值。采用64点IFFT意味着系统的采样间隔为3.2us64 = 0.05s,这样采样频率至少应该是20Msamples/s。图1.1子载波与IFFT序号的映射关系1.2.2 IEEE 802.11a物理层协议帧结构4图1.2所示为IEEE 802.11a标准所规定的物理层协议数据单元(Physical Protocol Data Unit, PPDU)帧结构,也是基带发射处理器所要生成的数据结构。图1.3所示为更加细致的描述,从中可以看到,接收机的定时同步、载波频偏估计以及信道估计等都是由前置的两个训练符号来完成的。训练符号包括10个周期重复的短训练符号(Short Training Symbol, STS)t1t10(每个符号的间隔为正常OFDM符号间隔的1/4即800ns)和2个周期重复的长训练序列(Long Training Symbol, LST)T1T2(符号间隔与正常OFDM符号相同)两个部分。图1.2 PPDU帧结构总的训练序列时间长度为16s。训练序列符号后面为“Signal”域,长度为一个正常OFDM符号长度即4s其中包含后续数据的调制类型、编码速率、和数据长度这样对接收机而言非常重要的信息。以上这些部分一起构成了帧头(PLCP Head)部分,接收机在对数据符号进行译码之前要利用它们完成训练任务。下面对它们分别加以详细说明。图1.3 PPUD帧结构详解1 短训练序列符号5短训练序列的主要用途是进行信号检测、自动增益控制(AGC)、符号定时和粗频率偏差估计。为了实现这些功能,短训练序列经过了精心设计。它在帧头最前面,包括10个重复的符号,每个符号的长度800ns。短训练序列只用OFDM符号的52个非零子载波中的12载波个来传输信息符号,这样能保证OFDM符号的功率稳定。短训练序列选择这种形式有两点优点:首先,可以在较大的范围内实现粗频偏估计。通常对于周期为T的重复符号而言,最大可估计的频率偏差为800ns的短训练符号间的相位差,可以估计的频率偏差可达625kHz。通过计算连续两个训练符号的相关,并且检验相关值是否超出某一门限值,就可以检测到是否有分组数据包的到达。在每两个短训练符号周期之后,可以调整接收机增益,然后继续进行检测和信号增益的测量。由于短训练序列符号持续时间短、重复周期多,所以更加容易在训练期间做出各种测量并进行调整。所以在进行载波同步时,可以先通过短训练符号粗略估计频率变差,然后采用估算修正长训练符号,完成频率补偿,从而保证系统的可靠性。2 长训练序列符号长训练序列在短训练序列之后,其长度为8s,其中包括两个有效OFDM符号的长度(3.2s)和一个长型保护间隔的长度(1.6s),可以估计到的频率偏差有156kHz。长训练序列主要用于精确的频率偏差估计和信道估计。由于长训练符号持续时间较长,所以在长训练符号周期内可以完成精确的频率估计,这是通过测量长训练符号内相隔为3.2s的样值之间的相位偏转来实现的。此外,通过对长训练符号中的相同两个部分进行平均,所获得数据的噪声功率要比数据符号中的低3dB,从而可以更加精确地获得相干解调所需的参数。3 Signal域Signal域紧跟在训练序列符号之后,它包含Rate域和Length域两个主要字段。根据Rate域能够得到数据符号的调制方式和码率信息。Signal域中的信息比特采用BPSK调制和码率为1/2的卷积编码,这样就可以得到6Mb/s的信息传输速率,这是IEEE的802.11a中所规定的最低速率,同时也是最为可靠的传输方式,以确保Signal域信息的正确传输与接收。6Signal域共包含24个比特,其中比特03为Rate字段,参考表1.3可以得到这4位比特与数据符号传输速率之间的对应关系。Length域长度为12个比特,用于指示MAC层请求PHY层发送的物理层服务数据单元(PSDU)的字节个数。当发射机接收到MAC开始传输的请求之后,物理层利用这一参数去确定MAC层和PHY层之间所需传递的字节个数。此外,Signal域中还包含几个未使用的比特,比特4保留供将来使用;比特17用作比特016的偶校验比特位;剩余的比特1823构成Signal的尾比特域(Tail Bits Field),所有6个比特都被置零,用于初始化卷积编码器和终止Viterbi译码器。结构如图1.4所示。Signal符号生成步骤:1/2卷积编码、交织、BPSK调制、Pilot的插入、IFFT和插入一个GI作为保护间隔。图1.4 Signal域的结构表1.3 Rate 域的内容信息传输速率(Mb/s) R1R2R3R461101911111201011801112410013610114800015400114 Data域数据符号Data域紧跟在Signal域之后,包含16比特的服务域、PSDU、6比特的尾比特域及填充比特等。相比于Signal域,数据符号域的基带处理操作增加了数据扰码,且可以根据信道状况选择不同的编码方式及调制映射以获得不同的数据速率。其数据符号的生成步骤:扰码操作、不同效率卷积编码、交织、调制、Pilot的插入、IFFT和插入GI作为保护间隔。第2章 IEEE 802.11a物理层的OFDM技术2.1 OFDM系统的发展状况及特点7在20世纪60年代由R.W.Chang首次提出OFDM的思想,之后由Peled和Ruiz引入循环前缀的概念,使得OFDM技术克服了信道间干扰(Inter Channel Interference, ICI)和符号间干扰(Inter Symbol Interference, ISI)。1971年Weinstein和Ebert把DFT应用到OFDM系统中,作为调制和解调的一部分,这样就不再利用带通滤波器,而是经过基带处理就可以直接实现OFDM,而且,在使用该方法完成OFDM调制的过程中也不再需要使用子载波振荡器组及相干解调器,大大简化了系统实现。此后OFDM在很多领域获得了实际的应用,应用于各种双向无线数据业务当中,如广播式音频和视频领域,数字音频广播、数字视频广播,还包括有线电话网上基于现有铜双绞线的非对称高比特率数字用户线技术,例如非对称数字用户环线(Asymmetrical Digital Subscriber Loop, ADSL),以及基于IEEE 802.11标准的无线局域网(WLAN)等。目前,OFDM最受关注的应用是Wi-MAX无线城域网的宽带接入,其信号传输半径可达50千米,网络覆盖面积是3G基站10倍,最大接入速度在75Mb/s。OFDM系统得到国际学者们的广泛关注,这是因为其存在诸多优点。(1)整个系统的误码率性能能具有很强的鲁棒性。OFDM技术可以将高速数据流经过串并变换变成低速数据流,使得每个子载波上的数据符号持续长度相对增加,从而降低无线信道的时间弥散所带来的ISI,这样接收机可以不采用均衡器,而仅通过插入循环前缀就可以消除ISI。(2)OFDM的频谱利用率高。OFDM系统由于各个子载波之间存在正交性,允许信道的频谱相互重叠,而传统的频分复用技术是将频带分为若干个不相交的子频带来传输并行的数据流,在接收端有一组滤波器来分离各子信道。因此,OFDM系统与传统的频分复用系统相比可以最大限度地利用频谱资源。OFDM合成信号的频谱非常接近于矩形,当子载波数很大时,频谱利用率可以接近Nyquist(奈奎斯特)极限。(3)各个子信道中的这种正交调制与解调可以采用IDFT和DFT的方法实现或者通过更为便捷的快速傅立叶变换(FFT)来实现。目前,IFFT和FFT算法都已经相当成熟,且硬件实现也容易。(4)物理层支持非对称速率数据传输。OFDM系统可实现无线数据业务非对称性,即上行链路中传输的数据量要小于下行链路中的数据量,这就要求物理层支持非对称速率数据传输,OFDM系统可以通过使用不同数量的子信道来实现上下行链路中不同的传输速率。(5)更有效地利用无线资源和提高整个系统的服务质量。由于无线信道存在频率选择性,不可能所有子载波同时处于比较深的衰落状态,因此可以通过动态比特分配及动态子信道分配的方法充分利用信噪比较高的子信道,从而提高系统性能。而对于多用户系统而言,一个用户不适合的子信道对于其他用户可能性能比较好的子信道,因此OFDM系统中可以根据信道的实际情况灵活地分配信息比特。8(6)OFDM系统容易结合其他多种接入方法,构成OFDMA系统,其中包括多载波码分多址MC-CDMA、跳频OFDM和OFDM-TDMA等,使得多个用户可以同时利用OFDM技术进行信息的传输。(7)抗干扰能力强。从频域来看,信道中因多径而出现频率选择性衰落或存在窄带干扰时,只有一小部分的子载波受到影响,因此OFDM系统可以在某种程度上抵抗多径及窄带干扰,误码率性能可以得到提高。由于OFDM系统所包含的子载波是相互正交,且其输出信号是多个子信道信号的叠加,因此同单载波系统相比,存在以下两个缺点:(1)频率偏差会对OFDM系统产生很大的影响。由于OFDM系统的子载波的频谱相互叠加,所以必须严格保证这些子载波之间的正交性。如果在传输过程中造成无线信号频谱偏移,就会破坏OFDM子载波之间的正交性,从而导致子信道间干扰,可见OFDM对频偏比较敏感。(2)OFDM容易产生较高的峰值平均功率比。如果OFDM系统输出的多个信号的相位一致,就可能导致信号的平均功率远小于叠加信号的瞬时功率,导致较大的峰值平均功率比(Peak-to-Average Power Ratio,PAPR)。而较高的峰值平均功率可能会导致信号失真,这样输出信号的频谱也会发生变化,从而破坏各个子信道间的正交性,使系统性能恶化。2.2 OFDM系统的工作原理2.2.1 OFDM系统的基本模型OFDM可以被看作是一种频分复用方式,一个OFDM符号包括多个经过调制的子载波。假设N表示子载波个数,T表示OFDM符号的持续时间,di(i=0,1,N-1)为分配给每个子载波的数据符号,fC为第0个子载波的载波频率,矩形函数rect(t)=1,|t|T/2,则从t=tS开始的一个OFDM符号可以表示为 9通常采用复等基带信号来描述OFDM的输出信号,见式。其中实部和虚部分别对应符号的同相分量和正交分量,在实际系统中将它们分别与相应子载波的和分量相乘,构成最终的子载波信号和合成的信号。系统数学模型的框图如图所示,其中。图2.1 OFDM系统数学模型框图图2.2 包含4个子载波的OFDM符号10上图2.2所示为一个包含4个子载波的OFDM符号的实例,这里假定所有的子载波具有相同的幅值和相位。当然,实际应用中随着数据符号的调制方式不同,每个子载波的幅值和相位都有可能不同的。从图2.2可以看出,每个子载波在一个OFDM符号周期内都包含整数个周期,而且各个相邻子载波之间都相差1个周期,故 (2-3)可见,对式(2-3)中的第j个子载波进行相关解调,然后在时间长度T内进行积分,可得 (2-4)不难发现,对第j个子载波进行相关解调可以恢复出期望符号dj。而对于其他子载波而言,由于在

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论