(电路与系统专业论文)基于openrisc1200r+avs解码器软件控制器的实现.pdf_第1页
(电路与系统专业论文)基于openrisc1200r+avs解码器软件控制器的实现.pdf_第2页
(电路与系统专业论文)基于openrisc1200r+avs解码器软件控制器的实现.pdf_第3页
(电路与系统专业论文)基于openrisc1200r+avs解码器软件控制器的实现.pdf_第4页
(电路与系统专业论文)基于openrisc1200r+avs解码器软件控制器的实现.pdf_第5页
已阅读5页,还剩63页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

山东大学硕士学位论文 摘要 为了促进音视频相关产业的升级和发展,我国于2 0 0 2 年6 月成立了“数字 音视频编解码技术标准工作组 ,并联合国内企业和科研机构,制定了具有自主 知识产权的数字音视频编解码标准a v s 标准。2 0 0 6 年3 月,a v s 标准第2 部分( a v s p 2 ) 正式公布,它属于高效的第二代视频编码技术,数据压缩率高, 拥有与h 2 6 4 近似的压缩性能,并且实现方案简洁,专利费用低,兼容性好。 s o c 是基于m 模块的复用技术,以嵌入式系统为核心,把整个系统集成在 单个或少数几个芯片上,从而完成整个系统功能的复杂集成电路。对于a v s 来 说,由于其解码复杂度比较高,采用纯软件设计难以对高清视频进行实时播放, 采用纯硬件设计则成本较高且灵活性低,所以对于高清视频的实时播放采用软硬 件结合的方式,也就是设计s o c 解码芯片进行视频解码,可以达到成本与性能 之间的折中。 本文主要是对a v s 解码器的软件控制器进行研究设计。首先通过o r l 2 0 0 与其他常用软核处理器的对比,说明了选用该软核处理器作为控制器的原因,并 进一步研究了o r l 2 0 0 的结构及其指令集,对解码器采用的w i s h b o n e 总线进行 了介绍。其次在研究a v s 关键技术的基础上对a v s 视频解码软件进行了任务复 杂度分析,根据各部分的功能完成了软件和硬件的任务划分,提出a v s 视频解 码器的架构,定义了相应的接口,并对软件控制部分的设计做了详细描述,其中 包括解析流程,存储显示区更新,中断处理,同步控制等。最后对设计的软件控 制程序在软件平台和硬件平台上分别进行了验证,验证结果表明设计的程序正 确,符合设计要求。 关键词:a v s ;o p e n r i s c1 2 0 0 ;s o c , 软件控制器;验证 山东大学硕士学位论文 ii im1 1i ii a b s t r a c t w i t ht h ep u r p o s eo fp r o m o t i n gt h ed e v e l o p m e n to fn a t i o n a la u d i oa n dv i d e o i n d u s t r i e s ,c h i n as e tu p a u d i oa n dv i d e oc o d i n gs t a n d a r dw o r k g r o u p ”i nj u n e , 2 0 0 2 j o i n tw i t he n t e r p r i s e sa n ds c i e n t i f i cr e s e a r c hi n s t i t u t i o n s ,i tw a sa u t h o r i z e dt o e s t a b l i s hn a t i o n a la u d i oa n dv i d e o c o d i n g s t a n d a r d a u d i ov i d e o c o d i n g s t a n d a r d ( a v s ) ,i n d e p e n d e n t l yd e v e l o p e da n do w n e db yc h i n a , i saf u n d a m e n t a l s t a n d a r di nd i g i t a l i p t va n do t h e ra u d i o v i d e ob a s e ds y s t e m s i nm a r c ho f2 0 0 6 , t h es e c o n dp a r to fa v ss t a n d a r d ( a v s p 2 ) w a so f f i c i a l l yp u b l i s h e d i td e f i n e st h e h i g h l y e f f i c i e n ts e c o n dg e n e r a t i o nv i d e o c o d i n gt e c h n o l o g y i t h a s c o d i n g p e r f o r m a n c ec l o s et oh 2 6 4 m o r e o v e r , i ti ss i m p l ea n de a s yt oi m p l e m e n tt h ea v s a v sh a sm a n ya d v a n t a g e sf o ru s ,s u c ha sl o wp a t e n te x p e n s e s ,h i g hd a t ac o m p r e s s i o n r a t i oa n de x c e l l e n tc o m p a t i b i l i t y s o ci sac o m p l e xi n t e g r a t e dc i r c u i tt h a ti sb a s e do nt h ei pm o d u l er e u s e t e c h n o l o g y , w h i c he m p l o y se m b e d d e ds y s t e ma st h ec o r ea n di n t e g r a t e st h ew h o l e s y s t e mi n t oas i n g l eo raf e wc h i p st oc o m p l e t et h ee n t i r es y s t e mf u n c t i o n o w i n gt o t h ec o m p l e x i t yo fa v sd e c o d i n g ,i ti sb e t t e rt oa p p l ys o t h v a r ea n dh a r d w a r e c o m b i n i n gw a y ( s o c ) i nv i d e od e c o d i n gt oa c h i e v er e a l - t i m ep l a y i n gr e q u i r e m e n t so f t h eh i ) v i d e o i nt h i sw a y , i tc a ns a v et h ec o s t 、析廿lb e t t e rf l e x i b i l i t ya n de a s i e rt o r e a l i z e t h i st h e s i sf o c u s e so nt h er e s e a r c ha n dd e s i g no ft h es o r w a r ec o n t r o l l e ro fa v s d e c o d e r f i r s t l y , t h i st h e s i si l l u s t r a t e st h er e a s o nw h yw ec h o o s eo r l 2 0 0s o f t w a r e p r o c e s s o ra st h ec o n t r o l l e ro fa v sd e c o d e r t h e n ,t h et h e s i ss t u d i e st h es t r u c t u r ea n d t h ei n s t r u c t i o ns e to f0 r 12 0 0a n di n t r o d u c e st h ew i s h b o n eb u st h a tt h ed e c o d e ru s e s n e x t , b a s e do nt h er e s e a r c ho fa v sk e yt e c h n o l o g y , t h i st h e s i sa n a l y z e st h e c o m p l e x i t yo ft h et a s ko fa v s v i d e od e c o d e rs o r w a r e ,c l a s s i f i e st h es o f t w a r et a s ka n d h a r d w a r et a s k , p u t sf o r w a r dt h ea r c h i t e c t u r eo fa v sv i d e od e c o d e ra n dd e f i n e s i n t e r f a c eb e t w e e nt h ev a r i o u sh a r d w a r em o d u l e s t h i r d l y , w ed e s i g nt h es o f t w a r e c o n t r o l l e ri nd e t a i l s ,i n c l u d i n gc o d es t r e a mp a r s i n gp r o c e d u r e ,r e f e r e n c e d i s p l a yf l a m e 2 山东大学硕士学位论文 u p d a t i n g ,i n t e r r u p th a n d l i n ga n ds y n c h r o n o u s l yc o n t r o le t e a tl a s t , w eu s es o f t w a r e p l a t f o r ma n dh a r d w a r ep l a t f o r m t o v e r i f yt h es o t t w a r ec o n t r o lp r o g r a m t h e v e r i f i c a t i o nr e s u l t sp r o v et h ep r o g r a mc o r r e c t ,m e e t i n gt h ed e s i g nr e q u i r e m e n t s k e y w o r d s :a u d i oa n dv i d e oc o d i n gs t a n d a r d ,o p e n r i s c 12 0 0 ,s o c ,s o f t w a r e c o n t r o l l e r , v e r i f i c a t i o n 3 山东大学硕士学位论文 皇曼曼詈皇葛葛暑喜詈皇曼! 詈皇詈詈曼詈摹鼍曼詈詈曼曼! 曼曼i i 一i 一i 。詈詈皇皇鼍寡詈毫曼皇詈詈 a s i c a v s c m c p u d c t d s p f p g a g c c g p l i c i p t 仆仉t m p e g m :v o r p p i c l u s c s d r a m s o c s o p c 4 符号说明 a p p l i c a t i o ns p e c i f i ci n t e g r a t e dc i r c u i t a d v a n c e dv i d e oa u d i os t a n d a r d c o m m o ni n t e r m e d i a t ef o r m a t c e n t r a lp r o c e s s i n gu n i t d i s c r e t ec o s i n et r a _ n s f o t i n d i g i t a ls i g n a lp r o c e s s i n g f i e l d - - p r o g r a m m a b l eg a t ea r r a y g n u c o m p i l e rc o l l e c t i o n g e n e r a lp u b l i el i c e n s e i n t e g r a t e dc i r c u i t i n t e l l e c t u a lp r o p e r t y j o i n tv i d e ot e a m m e m o r ym a n a g e m e n tu n i t m o t i o np i c t u r ee x p e r tg r o u p m o t i o nv e c t o r o p e n r i s cr e f e r e n c ep l a t f o r m p r o g r a m m a b l ei n t e r r u p tc o n t r o l l e r r e d u c e di n s t r u c t i o ns e tc o m p u t e r s y n c h r o n o u sd y n a m i cr a n d o m a c c e s sm e m o r y s y s t e mo nc h i p s y s t e mo nap r o g r a m m a b l ec h i p 专用集成电路 先进音视频编码标准 常用标准化图像格式 中央处理器 离散余弦变换 数字信号处理 现场可编程门阵列 g n u 编译器套装 g n u 通用公共许可证 集成电路 知识产权 联合视频组 内存管理单元 运动图像专家组 运动矢量 o p e n m s c 参考平台 可编程中断控制器 精简指令集计算机 同步动态随机存储器 片上系统 可编程芯片系统 山东大学硕士学位论文 1 1课题背景 1 1 1 视频解码标准概述 第一章绪论 在当今社会,信息技术和计算机互联网飞速发展,人类获得信息的最主要的 载体变成了多媒体信息,人们对多媒体数据的需求正在不断增长。多媒体信息包 括数据、文字、视频图像,其中视频信息所占的信息量约占总信息量的7 0 ,视 频技术成为了多媒体技术中的一个重要技术。 视频巨大的信息量,使得人们不易存储和传输原始视频信息。例如,一幅 6 4 0 4 8 0 分辨率的彩色图像( 2 4 比特像素) ,其数据量约为7 4 m b 。若以每秒2 5 帧的速度播放,则需要传输的比特率约为1 8 5 m b s ;若用6 5 0 m 的光盘存储该视 频信息,仅仅能播放2 8 秒钟。所以要存储和传输电影等长时间视频信息,必须 在存储和传输前对视频信息进行高效的压缩,以降低视频庞大的数据量。视频压 缩技术就是在保证视频图像不影响人们视觉感知的情况下,去除图像中的冗余信 息,进行有损或无损的压缩i l j 。 在过去的二十年里,人们提出了许多不同的视频压缩及解压缩算法,算法的 多样性,使得不同厂商的设备之间不能很好的相互兼容,因此有必要定义标准的 压缩编码和解码算法,其中国际标准化组织( i s o ) 针对消费类应用成立 m p e g ( m o v i n g p i c t u r ee x p e r tg r o u p ) 运动图像专家组,制定了m p e g 系列标准 2 1 ; 国际电信联盟( i t l d 针对多媒体通信制定的h 2 6 x 系列视频编码标准和c t 7 系 列音频编码标准。国内外图像视频标准如图1 1 所示。 “”。”“”一r t f r r 1 ;s 鼢; h ,2 6 ,i争瑚f h 一卜狲+ | 9 铂 9 1 刚豫9 9 01 驰1 21 觎h1 稍峙1 9 烈i o o 硪2 0 0 4 图1 - 1 图像视频标准的发展 作为一个发展中的大国,我国虽然在音视频产业领域有着广阔的市场并已经 曰 山东大学硕士学位论文 具备较强的产业基础,但由于没有掌握核心技术标准,相关企业长期受制于国外 持有标准化专利与技术的企业和组织,在使用相应标准时需要缴纳高昂的专利费 用,故有必要提出我国的视频编码标准。在面临视频标准更新换代的机遇下,我 国适时自主提出了数字音视频编解码标准p 1 4 1 ( a d v a n c e dv i d e oa u d i os t a n d a r d , a v s ) ,包括系统、视频、音频、数字版权管理四部分主要技术标准和一致性测 试等支撑标准。作为第二代信源编码标准,同其他视频标准相比,a v s 编码效率 较高,比m p e g 2 高2 3 倍【5 】,与h 2 6 4 a v c 相当【6 】,而且技术方案简洁,芯 片实现复杂度低,达到了第二代标准的最高水平。而且a v s 通过简洁的一站式 许可政策,解决了h 2 “鲥c 专利许可问题死结,是开放式制订的国家、国际 标准,易于推广。此外,h 2 6 4 a v c 仅是一个视频编码标准,而a v s 是一套包 含系统、视频、音频、媒体版权管理在内的完整标准体系,为数字音视频产业提 供更全面的解决方案。 1 1 2 c 技术 s o c ( s y s t e mo nc h i p ) 通常被称为片上系统,它是以嵌入式系统为核心, 以p 复用技术为基础,集软、硬件于一体,并追求产品系统最大包容的集成芯 片 7 1 ,它包括硬件和软件两部分,硬件指s o c 芯片部分,软件指运行在s o c 芯 片上的系统及应用,其系统结构如图1 2 所示1 8 1 。 高 图1 - 2 典型s o c 结构 s o c 设计与传统的a s i c 设计区别在于一是s o c 设计更需要了解整个系统 的应用,定义出合理的芯片架构,使得软硬件配合达到系统最佳状态;二是s o c 6 山东大学硕士学位论文 设计是以i p 复用为基础的。s o c 由软件和硬件组合而成,在进行系统设计时, 要从软件和硬件的角度考虑,同时进行软硬件设计。当前在软硬件设计中最为活 跃的研究工作包括系统描述、软硬件划分、软硬件协同综合、以及软硬件协同模 拟与验证。s o c 系统级芯片的设计流程如图1 3 所示,包括以下阶段。 图1 - 3s o c 芯片设计流程 第一阶段:根据产品的需求进行系统设计和关键算法的描述。即根据用户需 求编写用于设计的技术文档,初步确定系统的设计流程,并进行高级算法的建模 仿真。 第二阶段:系统整体架构的设计。即通过有效地分析系统任务和所需的资源, 7 山东大学硕士学位论文 设计符合系统功能要求和系统约束的硬件和软件架构,选择合适的处理器及总线 架构,定义软硬件接口。 第三阶段:软件和硬件分别设计。软件包括算法优化,应用开发,以及r t o s 、 i o 驱动和各种应用程序的开发陬1 0 1 。硬件设计包括处理器核和i p 核的r t l 设计, 综合,布局布线及最后的流片制造。 第四阶段:系统集成,软硬件协同仿真。 本文针对a v s 解码芯片主要研究其s o c 的软硬件划分,接口定义,及在所 选处理器上的软件编程工作。 1 2a v s 解码器的研究现状 由于数字技术的发展,全球视频装置市场进入一个从模拟到数字的时代。我 国预计于2 0 1 5 年停止模拟电视广播,现有的3 2 亿台模拟电视接收机都要完 成数字化改造,或加装数字机顶盒,或置换为数字电视接收机。数字视频解码芯 片是数字视频应用中的关键部分。a v s 具有优秀的编码效率,同时计算复杂度相 应也提高了很多,这也意味着纯软件解码的性能不能满足高级别,特别是实时高 清解码,但是利用纯硬件实现的成本比较高,灵活性比较低,因此人们采用视频 s o c 进行a v s 视频解码【l ,它是由软件控制,硬件进行解码,采用软硬件协同 工作,并且能通过改变内部软件实现不同的算法,满足不同应用领域的计算方式 的需求。s o c 使视频程序不仅能在计算机平台上解码,还能用在如数字电视, d v d 播放器等消费装置上。 作为我国制定的、具有自主知识产权的视频压缩标准,a v s 尚未成为正式的 国际标准,针对a v s 解码芯片的研究开发大都以国内研究机构和本土的芯片设 计公司为主,如龙晶的d s l 0 0 0 及展讯推出的a v s 的s o c 解码芯片,国外如博 通、意法、e n v i v i o 和t i 等国外厂商推出了支持包括a v s 标准的高清晰度( n o ) 在内的多模多媒体解决方案,但还没有国外公司针对a v s 标准推出专门的产品。 a v s 标准的确立和推广,对提高我国在国际上的科技竞争力、发展和推动我 国信息化进程,都有着特殊的意义,为我国迎头赶上世界信息化科技的先进水平, 创造了一个良好的契机。进行a v s 芯片设计,有助于促进a v s 的发展,并且a v s 芯片作为多媒体处理的协处理器,广泛应用于视频通信、视频监控、网络电视、 8 山东大学硕士学位论文 有线数字电视、卫星数字电视和地面传输数字电视等音视频领域,可以保证视频 解码的实时性。对于国内相关企业来说,抓住机会及早开始a v s 标准相关芯片 的研发,届时借本土优势抢先占领市场,是一个有利的选择。 1 3 论文结构 本文分析了a v s 解码算法,对开源处理器o r l 2 0 0 及其指令集和互联总线 标准w i s h b o n e 进行了介绍,确定了a v s 解码芯片的软硬件任务及接口,并编写 了a v s 解码芯片的软件部分,最后对软件进行了仿真。论文的结构及安排如下。 第一章提出了课题的研究背景,也就是视频标准发展状况及a v s 芯片的研 究情况,对s o c 设计的基本流程作了介绍,提出本文所要做的工作及意义。 第二章说明选用开源o r l 2 0 0 处理器作为a v s 解码芯片处理器的原因,并 对o r l 2 0 0 处理器架构及其指令集做了简单的介绍。分析了片上系统i p 核互连 总线规范w i s h b o n e 的互联类型及总线接口信号设计时所满足的条件。 第三章对a v s 视频解码关键算法进行了介绍,对其任务复杂度进行了分析, 针对a v s 解码芯片进行了软硬件划分及接口定义。 第四章对软件控制器进行具体的设计,如解析流程及各个功能模块的运行过 程。 第五章针对软件控制器进行了软件平台和硬件平台的测试仿真。 第六章结束语,对全文工作进行总结,并进行了展望。 1 4 本章小结 本章从视频发展的背景入手,首先说明了我国自主研发音视频标准a v s 的 意义,针对a v s 标准说明了我国企业研究设计a v s 解码芯片的市场前景。其次 简要介绍了a s i c 设计中的常用技术1 0 c 技术,它是以嵌入式系统为核心,以 i p 复用技术为基础,集软、硬件于一体,并追求产品系统最大包容的集成芯片。 最后介绍了本文研究的主要内容及论文的结构。 9 山东大学硕士学位论文 第二章o p e n r i s c1 2 0 0 及w i s h b o n e 总线介绍 2 1 常用软核处理器概述 随着f p g k d a s i c 的发展,产生了越来越多的复杂i p 核。从商用角度来看, 这些m 核可以分为两类:一类是商用m 核,另一类是开源坤核1 2 1 。 商用p 核受版权法保护,并且即使用户付费源代码仍然是不可见的,比如 a r m 处理器,a l t e r a 的i p 核。工程师在使用它们之前必须获得它们的商用l i c e n s e 。 有些商用核连同综合工具一同被卖给用户,如n i o s 处理器和a v a l o n 总线连 同它们的综合工具q u a r t u s 一起提供给用户。这些商用口核具有稳定的和较高的 性能。 开源i p 核受非盈利版权的保护,在开发开源i p 核的人员之间没有正式或法 律上的关系。开源m 核的源代码可以从开源组织网站( w w w o p e n c o r e s o r g ) 上 被自由修改,发布和下载而不受版权限制。开源组织是一个松散的组织,它集合 了大量的对硬件和自由软件开发运动有强烈兴趣的工程师。为了减少开发口核 的成本,开源组织的大多数开发主要针对数字模块。开源口核的其他优点是它 们中的大多数可以使用第三方的综合工具,如o p e n r i s c1 2 0 0 处理器和w i s h b o n e 互联总线模型可以被a l t e r a 和x i l i n x 的工具综合。并且,一些开源i p 核可以被 封装用在任何嵌入式系统项目中。由于开源i p 核的低成本和设计灵活性,一些 f p g m a s i c 公司和研究人员逐渐重视开源i p 核。 对于s o c 设计,要选择合适的处理器核,须在满足性能的前提下考虑成本 和开发时间等。下面对s o c 中常用的软核处理器进行简要介绍,并进行比较, 选择适合所设计的a v s 解码器芯片的处理器i p 核。 2 1 1a 蹦系列处理器 在嵌入式处理器领域,a r m 系列处理器占据了大部分的市场份额,它是一 种r i s c 结构处理器,主要包括经典a r m 处理器系列和a r mc o r t e x 系列,其 他半导体公司通过a r m 公司的授权使用其i p 核,包括a r m 内核的整合硬件 叙述,完整的软件开发工具( 编译器、调试器、s d k ) ,以及针对内含a r mc p u 硅芯片的销售权,而a r m 公司本身不进行a r m 芯片的销售,将更多的精力投 1 0 山东大学硕士学位论文 入到研发中,使其i p 核性能不断提高。虽然a r m 是3 2 位的r i s c 处理器,但 同时也支持1 6 位的t h u m b 指令集,使得其可以在两种工作状态下进行切换,能 够很好的兼容8 位1 6 位器件。a r m 处理器包括3 1 个通用寄存器和6 个状态寄 存器,大量的寄存器使得大多数操作可以在寄存器中完成,指令执行速度更加高 效1 1 3 】。 a r m 内核使用的片上总线为a m b a ( a d v a n c e dm i c r o c o n t r o l l e rb u s a r c h i t e c t u r e ) 总线标准1 4 1 ,其由a r m 公司开发,包括a h b ( a d v a n c e d h i g h - p e r f o r m a n c eb u s ) 总线、a s b ( a d v a n c e ds y s t e mb u s ) 总线( 应用较少) 、 a p b ( a d v a n c e dp e d p h e r a lb u s ) 总线和a x i 总线。其中a h b 总线是a m b a 2 0 标准,用来连接高性能系统模块,如处理器、d m a 控制器、片内存储器、外部 存储器接口等,支持流水操作,仲裁、突发传输、分离传输及多主设备等复杂事 务。a s b 总线是旧版本的系统总线,现已被a h b 总线所替代。a x i 总线是新一 代的a m b a 3 0 标准。a p b 总线用来连接低功耗的外围设备,如键盘接口、u a r t 接口及时钟模块等。典型的基于a r m 处理器及a m b a 总线的s o c 结构如图2 1 所示。 图2 1 基于a r m 处理器的典型s o c 结构 2 1 2n i o si i 处理器 n i o si i 处理器是a l t e r a 公司推出的基于r i s c 体系结构的通用嵌入式处理器 软核,它是a l t e r a 的可编程逻辑和可编程片上系统( s o p c ) 设计综合解决方案的 山东大学硕士学位论文 核心部分。它包括3 种产品,分别是:n i o sl i f ( 快速) 最高的系统性能; n i o si i s ( 标准) 高性能;n i o si i e ( 经济h 氐性能。它们具有3 2 位处理 器的基本结构单元。处理器具有3 2 位指令集,3 2 位数据总线宽度和地址空间, 3 2 个通用寄存器和3 2 个外部中断源i ”】。由于a l t e r a 公司针对f p g a 器件对n i o s i i 进行了专门的优化,使得n i o si i 系列处理器在可编程片上系统( s o p c ) 设计中 有十分明显的优势,在f p g a 器件上有出色的表现,并且a l t e r a 公司针对n i o si i 提供了完善的文档和技术支持,用户可以免费获得n i o si i 的开发平台。n i o si i 采用了a l t e r a 公司本身的a v a l o n 片内总线标准,用于连接处理器软核及其他片 上外设,支持并发操作的多主设备及各种模式的a v a l o n 传输,支持等待时间读 写传输,其可以由a l t e r a 的s o p c 开发开发工具s o p cb u i l d e r 自动生成。基于 n i o si i 处理器的典型s o c 结构如图2 2 所示。 图2 - 2 基于n i o si i 处理器的典型s o c 结构 2 1 3l e o n 系列处理器 l e o n 系列处理器是一种基于s p a r cv 8 架构的3 2 位r i s c 微处理器,最 初是由欧洲航天局下属机构( e s a ) 研发,现如今主要包括三款处理器:l e o n 、 l e o n 2 和l e o n 3 ,其中l e o n 2 是5 级流水线,l e o n 3 是7 级流水线,l e o n 处理器包含整数硬件乘法和除法单元、双协处理器接口( f p u 浮点处理单元和 c o p r o c e s s o r 协处理器) ,分离的指令和数据总线( 哈佛结构) 。l e o n 软核采用 v h d l 语言描述,具有良好的可配置性和可移植性,并且遵循g p l 许可证协议 1 2 山东大学硕士学位论文 的开源性6 1 。l e o n 2 和l e o n 3 采用的片上总线为a m b a 总线,用于连接处理 器、内存控制器、定时器、u a r t 接口和中断控制器等外设模块。l e o n 处理器 同时还提供了一个调试支持单元和一个调试串口,用于支持片内调试。基于 l e o n 2 处理器的典型s o c 结构如图2 3 所示。 图2 3 基于l e o n 2 处理器的典型s o c 结构 2 1 40 p e n r i s c1 2 0 0 软核处理器 o p e r d u s c1 0 0 0 系列处理器是0 1 ) e n c o r e s 组织推出的注重性能,简单,低功 耗的3 2 6 4 位处理器软核,具有完全开放的源代码以及相关a s i c 平台开发的支 持,使用者可以在g n ul g p l 下免费使用其r t l 级的v e r i l o g 源代码【1 7 】。 o p e n r i s c1 2 0 0 是o p e n r i s c1 0 0 0 处理器家族中的一种,为3 2 位的标量r i s c , 采用哈佛结构,采用的片上总线为w i s h b o n e 总线,用来连接主设备和其他外设, 同样由o p e n c o r e s 组织负责维护,是o p e n c o r e s 建议的片上总线标准。基于 o w n r i s c1 2 0 0 的典型s o c 结构如图2 4 所示。 图2 _ 4 基于o p e n r l s c1 2 0 0 的典型s o c 结构 通过对上述软核处理器的简要介绍中可以看出,a r m 系列处理器具有较高 1 3 山东大学硕士学位论文 的性能及最为完整的技术支持,但是它的商业应用需要a r m 公司的授权,使用 成本较高。对于l e o n 系列处理器,由于其得到了欧洲航天局的资助,其开发 文档和技术支持相对完善,但是它不公开其编译器l e c c s ( l e o n e i 比3 2c r o s s c o m p i l a t i o ns y s t e m ) ,对于设计者来说不利于在原有内核基础上进行优化,增加 专用模块以及专用指令集的s o c 设计。对于n i o si i ,它在a l t e m 的f p g a 器件 上有出色的表现,用户可以在a l t e r a 的f p g a 芯片上免费使用n i o si i ,但不 能获得n i o si i 的h d l 源代码,另外设计者若要在a s i c 设计中使用n i o si i 内 核,则需要向a l t e r a 公司支付一定的授权费用。对于开源处理器o p e n r i s c 1 2 0 0 ,它的源代码中提供了对a l t e r a 、x i l i n x 器件的支持,只需修改其部分代码, 就可以配置成所需要的系统构架,并且此处理器也可以在a s i c 上轻松实现。 o p e n r i s c1 2 0 0 有完善的软件开发环境( s d k ) 和操作系统的支持,支持l i n u x , l c l i n u x ,r t e m s ,r e d h a e c o s 等多种操作系统,使用者可以通过g n u 工具链 进行基于o p e n 对s c1 2 0 0 内核的编码、编译和调试。使用o p e n r a s c1 2 0 0 构成 s o c ,可以实现设计从f p g a 上到a s i c 上平稳过度,并且不需要支付任何授 权费用。因此,选用o p e n r i s c1 2 0 0 处理器核作为a v s 视频解码芯片的c p u , 以下将对o p e n r i s c1 2 0 0 及其指令集进行详细介绍,并对其使用的片上总线 w i s h b o n e 总线进行介绍,以便设计支持w i s h b o n e 总线的硬件模块。 2 2 o p e n r is c1 2 0 0 处理器及其指令集 2 2 1 o p e n r i s c1 2 0 0 的结构 o p e n r i s c1 0 0 0 是开源r i s c 处理器中的一种自由架构。作为一种架构, o p e n r s c1 0 0 0 支持不同成本和性能的一系列芯片和系统应用,是一种强调性 能,简单,低功耗,可测量和多功能的3 2 1 6 4 一b i t 精简指令集架构。o p c n r i s c1 2 0 0 ( 以下简称为o r l 2 0 0 ) 第一个数字是l ,表示它属于这个处理器家族,第二 个数字2 表示它实现了o p c n r i s c1 0 0 0 的特点。 o r l 2 0 0 是一个可综合的开源处理器。它是3 2 位的标量r i s c ,具有哈佛结 构,5 级整数流水线,支持虚拟内存( m m u ) 和基本的d s p 功能,并且外部数 据和地址总线接1 3 符合w i s h b o n e 标准。o r l 2 0 0 通用框架结构如图2 5 所示【1 8 1 。 1 4 山东大学硕士学位论文 墨鋈吾i 电源管理模块 塑苒i 调试模块 接u ”8 “ t i c k 定时器 中断控l 可编程l | 断控制 制接u器 o p c n r i s c12 0 0 c p u ,d s p 核心 系统l f 指令m m u 指令c a c h e8 k b 数据c a c h e8 k b 数据m m u w i s h b o n c 总线接u w i s h b o n c 总线接口 图2 - 5o r l 2 0 0 通用框架结构 o r l 2 0 0 主要包括以下各个部分: ( 1 ) c p u d s p 单元 作为o r l 2 0 0 核心处理单元,包括取指单元,地址生成单元,指令解码,执 行流水线控制单元,一个3 2 x 3 2 的m a c ,一个a l u ,两个由双端口r a m 构成 的3 2 x 3 2 b i t 构成的通用寄存器堆,操作数存取单元,中断控制单元,系统单元, 例外单元。c p u d s p 核心的结构如图2 - 6 示。 图2 - 6o r l 2 0 0c p u d s p 的结构框图 ( 2 ) 数据和指令高速缓存( c a c h e ) o r l 2 0 0 默认的c a c h e 配置是一条通道直接映射的8 k b 数据高速缓存,一条 通道直接映射的8 k b 指令高速缓存。每个通道是1 6 字节的线尺寸,两个c a c h e 都是实体标记的。其还可以配置成i k b 、2 k b 、4 k b 和8 k b 形式。用户对其进 行选择加载,以提到c p u 的效率。 1 5 山东大学硕士学位论文 ( 3 ) 数据和指令存储器管理单元( m m u ) o r l 2 0 0 默认配置使用m m u ,m m u 由基于一条通道直接映射的数据t l b 和指令t l b 的6 4 个条目的h a s h 表组成。其提供内存访问保护和有效的虚拟内 存到物理地址的转换。 ( 4 ) 可编程中断控制器( p i c ) 可编程中断控制器有3 个特殊功能寄存器和3 2 个中断输入,通过特殊功能 寄存器屏蔽或激活除中断输入0 和1 之外的中断输入,并指定其低或高优先级。 此模块可选,从外部的源接收中断,并将这些中断作为低或高优先级中断例外转 发给c p u 核心。 ( 5 ) 定时器 o r l 2 0 0 使用t i c k 定时器设备,操作系统用它作为高精度定时参考,也可用 它调度系统任务。 ( 6 ) 电源管理模块 现在i c 设计都要求芯片低功耗运行,o r l 2 0 0 通过动态激活或关掉内部的 部分甚至全部单元( 只保留中断响应) 来实现低功耗运行的目的。 ( 7 ) 调试单元 为了帮助软件开发者调试系统,o r l 2 0 0 提供了调试单元,支持基本的调试。 除了以上模块,o r l 2 0 0 还包括多个时钟输入,同时提供异步复位信号,当 复位信号r s t 为高电平时,复位内部所有触发器。 2 2 20 p e n r l $ c1 2 0 0 处理器的指令集 o r l 2 0 0 的指令集为o r 3 2 指令集,也就是o r b i s 3 2 指令剿1 9 1 ,里面包含了 最通用的指令,不涉及d s p 和矢量运算。指令集中包括算数运算,移位运算, 分支跳转运算,条件判断运算,存取运算,另外还有一些特殊的系统指令用于配 合处理器和操作系统的运行。o r l 2 0 0 指令集中的所有指令长度相同,在存储器 中按3 2 位对齐,一次存储器操作可读入一条指令字,包括r 类型( 寄存器型) 、 i 类型( 立即型) 和j 类型( 跳转型) 等较少的指令类型。指令字中的各个域位 置稳定,并且指令集中的绝大多数指令都是寄存器操作指令,只有l o a d 和s t o r e 指令涉及存储器操作,这使得o r l2 0 0 指令适合流水线实现,并且存储器访问的 时间大大减少,指令周期得到了简化。图2 。7 表示了3 2 位指令各个比特的含义 1 6 ( 大部分指令遵循下面的含义,但是也有例外) 。其中r d ,r a ,r b 是5 位的 通用寄存器地址,作为访存和特殊指令的目的和源操作数、存储器地址或索引地 址寄存器。多周期指示是指有些指令执行时需要多个时钟周期才能完成,在此期 间流水线必须冻结的标示。 3 l2 62 52 12 01 6 指令的操作类别 返阿寄存器i i d 的寄存器地址 寄存器操作数r a 的寄存器地址 1 5l l98763o 循环移位操作类 寄存器操作数r b 的寄存器地址多周期指示a l u 类操作的子类别 别指示 图2 73 2 位指令各个比特的含义 表2 1 为o r l 2 0 0 指令的大的操作类别。 表2 - 1o r l 2 0 0 的主要指令集 指令含义指令含义 算数逻辑运算分支跳转运算 1 a d d r d ,r a ,r b a d ds i g n e d1 b f nb r a n c hi f f l a g 1 a d d c r d ,r a ,r b a d ds i g n e da n dc a r r y1 b n f nb r a n c hi f n of l a g i a d d ir d ,r a ,ia d di m m e d i a t es i g n e d 1 jnj u m p 1 a d d i ca d di m m e d i a t es i g n e da n d 1 j a l nj u m pa n dl i n k r d ,r a ,i c a r r y l a n d a n d i j a l rr bj u m pa n dl i n kr e g i s t e r r d ,r a ,r b 1 a n d ia n dw i t hi m m e d i a t eh a l f r d ,r a ,k w b 1 j rr bj u m pr e g i s t e r 1 m u l r d ,r a ,r b m u l t i p l ys i g n e d 存取指令 l o a db y t ea n de x t e n dw i t h 1 m u l ir d ,r a ,i m u l t i p l yi m m e d i a t es i g n e d 1 1 b sr d ,i ( r a ) s i g n 1 m u l ul o a db y t ea n de x t e n dw i t h r d ,r a ,r b m u l t i p l yu n s i g n e d 1 1 b zr d ,l ( r a ) z e r o l o a dh a l fw b r da n de x t e n d 1 o rr d ,r a ,r bo ri 1 h sr d ,l ( r a ) w i t hs i g n o rw i t

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论