实验5 时序逻辑电路实验.doc_第1页
实验5 时序逻辑电路实验.doc_第2页
实验5 时序逻辑电路实验.doc_第3页
实验5 时序逻辑电路实验.doc_第4页
实验5 时序逻辑电路实验.doc_第5页
免费预览已结束,剩余4页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验5 时序逻辑电路实验1.设计一个完整的时序逻辑电路,并用MAXPLUS进行仿真,将结果下载到实验箱中,测试电路的正确性。要求:设计一个24进制计数电路,数字显示在数码管上,有手动和自动两种模式,在自动模式下每隔1秒从00显示到23然后循环,在手动模式下,每按一次按键计数值加1。每次从23跳到00时,响铃提示。2. 应包含VHDL源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析24进制器(很重要)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY hours24 ISPORT(CLK,X:IN STD_LOGIC; O_1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); O_2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); W:OUT STD_LOGIC; bee:OUT STD_LOGIC );END hours24;ARCHITECTURE g3 OF hours24 ISSIGNAL COUNT_1,COUNT_2:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,X)BEGINIF(CLKEVENT AND CLK = 1 )THEN IF(COUNT_2=2 AND COUNT_1=3)THEN COUNT_2=0000; COUNT_1=0000; bee=1; ELSIF(COUNT_1=9)THEN COUNT_2=COUNT_2+1; COUNT_1=0000; ELSE COUNT_2=COUNT_2; COUNT_1=COUNT_1+1;bee=0; END IF;END IF;END PROCESS;W=X;O_2=COUNT_2 ;O_1=COUNT_1;END g3;分频(重要)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY fenpin ISPORT(clk:IN STD_LOGIC; sd:IN STD_LOGIC; EN:IN STD_LOGIC; clk_F:OUT STD_LOGIC; sd_1:OUT STD_LOGIC; wx:OUT STD_LOGIC; EN_1:OUT STD_LOGIC );END fenpin;ARCHITECTURE g1 OF fenpin ISSIGNAL clk_DIV:STD_LOGIC;BEGINPROCESS(clk) VARIABLE COUNT:INTEGER RANGE 0 TO 4;BEGINIF(clkEVENT AND clk = 1)THEN IF(COUNT=3)THEN COUNT:=0; ELSE COUNT:=COUNT+1; IF( COUNT2)THENclk_DIV= 1;ELSE clk_DIV = 0;END IF;END IF; END IF;END PROCESS;clk_F=clk_DIV;EN_1=EN;sd_1=sd;wx=clk;END g1;按键消除抖动(重要)library IEEE;use ieee.std_logic_1164.all;entity xiaodou isport( clk,key,xuan: in std_logic; dmc,clk_out,xuan_out: out std_logic );end xiaodou;architecture g0 of xiaodou issignal r,s,qr,qs,d1,d2,q1,q2,d3,d4,q3,q4,cp:std_logic;beginprocess(clk)beginif(clkevent and clk=1)then d1=key; d2=d1; q2=d2; d3=qr; d4=d3; q4=d4;end if; r=(not d2)and (not q2); s=d2 and q2; qr=r nor qs; qs=s nor qr; cp=d4 and (not q4); dmc=cp;end process;clk_out=clk;xuan_out=xuan;end g0;选择器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xuanze ISPORT(CLK_WX,CP,EN_2,DIV:IN STD_LOGIC; UTTER_1:OUT STD_LOGIC; UTTER_2:OUT STD_LOGIC );END xuanze ;ARCHITECTURE g2 OF xuanze ISBEGINPROCESS(DIV,CP,EN_2)BEGIN IF(EN_2=0)THENUTTER_1=DIV;ELSE UTTER_1=CP;END IF;END PROCESS;UTTER_2 B B B B B B B B B B B =1111111;END CASE;END PROCESS;bee_OUT=bee_IN;WW=XX;END g5;选位器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY code ISPORT(C:IN STD_LOGIC_VECTOR(3 DOWNTO 0); D:IN STD_LOGIC_VECTOR(3 DOWNTO 0); EI:IN STD_LOGIC; bee_in:IN STD_LOGIC; bee_out,XW:OUT STD_LOGIC; F: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END code ;ARCHITECTURE g4 OF code ISBEGINPROCESS(EI,C,D)BEGINIF(EI=0)THENF=C;ELSE F=D;END IF;END PROCESS;bee_out=bee_in;XWclk,key=key,xuan=xuan,clk_out=U1,dmc=U2,xuan_out=U3);H2:fenpin PORT MAP(clk=U1,sd=U2,EN=U3,clk_F=U4,sd_1=U5,wx=U6,EN_1=U7);H3:xuanze PORT MAP(CLK_WX=U6,CP=U5,EN_2=U7,DIV=U4,UTTER_1=U8,UTTER_2=U9);H4:hours24 PORT MAP(CLK=U8,X=U9,O_1=YM1,O_2=YM2,W=U10,bee=U11);H5:code PORT

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论