计算机组成原理实验指导书2011版.doc_第1页
计算机组成原理实验指导书2011版.doc_第2页
计算机组成原理实验指导书2011版.doc_第3页
计算机组成原理实验指导书2011版.doc_第4页
计算机组成原理实验指导书2011版.doc_第5页
已阅读5页,还剩24页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

计算机组成原理实验指导书计算机组成原理实验指导书 谷赫 邹凤华 李念峰 编写长春大学 计算机科学技术学院2011年2月前 言计算机组成原理课程是计算机专业的基础课程,作为计算机重点主干课,具有很强的实践性,在本课程教学中,不仅要进行课堂教学,使学生掌握计算机硬件系统中各大部件的逻辑功能、逻辑组成和各大部件组成硬件系统的基本概念、基本原理和基本方法,还必须进行实验课教学。通过实验课可加深对计算机各功能部件的理解,掌握数据信息和控制信息的流动和实现过程,培养学生的设计、调试和开发计算机的能力。为了提高学生的实际操作技能,更好地了解计算机的工作原理和过程,为今后的技术工作奠定基础,根据计算机组成原理课程的重要章节编写了此书。根据教学内容,本书共安排了5个实验,每个实验包括实验目的要求、实验内容、思考题和实验报告等内容。实验遵循由浅入深,由易到难的规律,根据不同层次的需要,作为本、专科的实验学习指导书。因时间仓促,作者水平有限,书中难免有不足之处,请读者批评指正。目 录第1章 实验要求1第2章 计算机组成原理实验箱简介22.1 GW48-CP+ 新现代计算机组成原理实验开发系统22.2 GW48-CP+实验箱系统配置22.3 GW48-CP+实验系统工作模式4第三章 实验内容10实验一 QuartusII与硬件描述语言应用10实验二 运算器组成实验13实验三 存储器实验16实验四 时序电路产生器实验19实验五 程序计数器PC与地址寄存器AR实验21参考文献24第1章 实验要求1、实验前认真复习教材中所学知识,预习实验指导书中的有关内容。2、熟悉实验箱中有关部件的功能,理解每个信号的含义。3、熟悉虚拟运行环境及VHDL硬件描述语言。4、按照实验要求正确完成实验内容。5、实验完成后认真填写实验数据报告。6、实验完成后认真收好实验器材,不遗失、不故意损坏设备。第2章 计算机组成原理实验箱简介2.1 GW48-CP+ 新现代计算机组成原理实验开发系统 本实验设备采用杭州康芯电子有限公司研制开发的GW48-CP+ 新现代计算机组成原理实验系统,该现代计算机组成原理/设计实验开发系统参考了国外著名大学计算机组成与设计实验系统的功能与结构,代表了全新的符合国外知名高校同类学科的计算机组成原理实验理念,为实验者提供了先进的学习平台,克服了传统实验中单纯基于原理验证模式的,与实际工程技术脱钩,学用脱节,甚至误导的缺陷;让学生有机会接触到最新的计算机组成与设计方面的知识,使理论学习与工程设计相结合,知识传授与自主创新能力培养相结合,同时也与国际上大多数高校的计算机组成原理课实验内容与方法接轨。2.2 GW48-CP+实验箱系统配置现代计算机组成原理实验系统GW-48CP+的CPU核心部分由FPGA设计实现,通过FPGA与单片机的接口,将CPU核心部件中的指令寄存器、程序计数器、地址寄存器、暂存寄存器、运算寄存器、缓冲寄存器、存储器、微地址寄存器、输入缓冲寄存器等大量的数据实时地在数码管和LCD液晶显示屏显示出来。各类操作指示、数据动态流向显示,直观明了,一目了然。该实验仪采用FPGA设计CPU内部结构,采用模块化设计,单元电路分开,模块间连接通过内部总线和总线选择多路开关连接相,不必进行硬件连线,从而大大提高了实验的成功率。 实验开发开发板如图2-1所示。图2.1 GW48-CP+ 新现代计算机组成原理实验开发系统结构示意图 GWA1C6A适配板资源:Cyclone FPGA 1C6Q240,32万门、8MB、FLASH、1MB的SRAM、用于FPGA掉电保护配置器件EPCS Flash,10万次重复编程次数,且可兼作软核嵌入式系统数据存储器、EPM3032A CPLD; 接口资源1:JTAG调试口、AS模式下载口、USB接口、PS/2键盘接口、PS/2鼠标接口;全彩色VGA控制模块与接口、8色VGA接口(含多则清华大学计算机专业学生在此系统上的自主设计实验演示项目); 接口资源2:以太网口、RS232串口2个、SD卡接口、20MHz时钟源(可倍频到300MHz)、语音采样口; 接口资源3:24位Audio CODEC立体声输出口、MIC模拟输入口、高速时钟口、IO扩展口、超高速DAC及ADC板接口;蜂鸣器; Multi-task Reconfiguration智能电路结构;该电路结构能仅通过一个键,完成纯电子切换(有的产品只能通过许多机械开关手动切换)的方式选择十余种不同的实验系统硬件电路连接结构,大大提高了实验系统的连线灵活性,但又不影响系统的工作速度(手工插线方式虽然灵活,但会影响系统速度和电磁兼容性能,不适合高速FPGA/SOPC等计算机系统设计实验)。 显示资源:显示器为7寸800X480数字TFT彩色液晶屏,4行X20字字符型液晶屏、8发光管、扫描式智能译码数码显示电路模块,直通非译码、BCD译码、16进制译码显示模块、完成图象或文字显示的VGA接口; 电源资源:标准+/-12V、5V、3.3V、2.5V,1.5V混合电压功率输出电路模块、过载保护开关电源; 时钟资源:含4组20MHz至1Hz标准频率宽频标准信号源; 下载模块:USB-Blaster JTAG编程下载器、单片机编程口ByteBlasterII; 控制资源:10键可输入最高达32位二进制数、12个可重配置实验电平开关;3个其他用途键;4*4矩阵键盘; A/D D/A资源:ADC0809、DAC0832、含D/A与LM311构成的FPGA可控A/D设计项目模块; 扩展模块资源:模拟EDA器件ispPAC器件适配板、CPLD/FPGA万能接口模块、外扩展IO口模块、isp单片机模块、 电机模块:步进电机(能进行步进细分控制实验)、含闭环转速控制系统,光电脉冲计数; 高抗干扰主板:良好电磁兼容性的SX8200-J高速高密主板; 单片机模块 isp单片机为AT89S8253单片机,12KB isp Flash ROM,2KB ispEEPROM,10万次烧写周期2.3 GW48-CP+实验系统工作模式GW48-CP+实验系统提供9种工作模式,使用者可根据具体实验情况选择对应的工作模式。下面将每种工作模式的电路连接图介绍如下。1、模式0图2.2 实验电路结构图NO.02、模式1图2.3 实验电路结构图NO.13、模式2图2.4 实验电路结构图NO.24、模式3图2.5 实验电路结构图NO.35、模式4图2.6 实验电路结构图NO.46、模式5图2.7 实验电路结构图NO.57、模式6图2.8 实验电路结构图NO.68、模式7图2.9 实验电路结构图NO.79、模式8图2.10 实验电路结构图NO.8第三章 实验内容实验一 QuartusII与硬件描述语言应用【实验目的】1、熟悉QuartusII运行环境,利用本软件完成电路仿真。2、熟悉VHDL语言的语法规则及使用。【实验内容】 1、利用QuartusII完成原理图输入设计。2、利用VHDL语言完成简单逻辑关系描述。 3、利用VHDL语言实现全加器的语言描述(选做)。 【实验步骤】一、原理图输入设计1、为本工程建立文件夹。2、输入设计项目 FileNewDevice Design FileBlock Diagram。3、选择元器件在编辑窗口的的任意位置右击鼠标,Insert-symbol在出现的菜单项中选择输入的原件项(或双击鼠标也可),按要求连接好逻辑电路原理图。 4、存盘FileSave As,选择刚才为自己的工程建立的目录,将已经设计好的原理图文件扩展名为.bdf保存到文件夹中。 5、编译 ProcessingStart Compilation,更正语法错误直至准确为止。6、仿真 FileNewOther File创建Vector Waveform File(波形文件)。选择View Utility WindowsNode Finder,在File中选择Pins:all,点击list后,选择信号添加到波形文件中,手动输入数据后,点击ProcessingStart Simulation。二、VHDL语言描述逻辑电路设计1、新建文件夹2、输入源程序打开QuartusII,选择FileNewDevice Design FileVHDL File。3、文件存盘FileSave As,选择刚才为自己的工程建立的目录,将已经设计好的原理图文件扩展名为.vhd保存到文件夹中,存盘文件名应与实体名一致。4、全程编译ProcessingStart Compilation。5、时序仿真FileNewOther File创建Vector Waveform File,设置仿真时间区域,通常设置的时间是数十微妙之间。在Edit 菜单中选择End Time 项,设定整个仿真域时间,波形文件存盘为.vwf文件。ViewUtility WindowsNode Finder,在Filter框中选择Pins:all,单击List按钮,选择所需引脚名,ProcessingStart Compilation,编辑输入波形,仿真参数设置,启动仿真器ProcessingStart Simulation,直到出现Simulation was successful,仿真结束。【实验报告】1、画出Quaartus II环境下绘制的逻辑关系原理图2、利用VHDL语言描述逻辑关系3、利用VHDL语言描述全加器的实现(选做)。library ieee;use ieee.std_logic_1164.all;entity h_adder is port (a,b:in std_logic; so,co:out std_logic); - / 定义半加器实体的输入、输出端口end h_adder;architecture bh of h_adder isbegin so=a xor b; co=a and b; -/ “与”运算end bh;library ieee;use ieee.std_logic_1164.all;entity or1 isport(a,b: in std_logic; c: out std_logic); -/定义或门的输入、输出端口end entity or1;architecture one of or1 isbegincain,b=bin,co=x,so=y); - 元件例化w2:h_adder port map(a=cin,b=y,co=z,so=sum); - 元件例化w3:or1 port map( a=x,b=z,c=cout); - 元件例化end architecture hh;实验二 运算器组成实验【实验目的】1、掌握简单运算器的数据传输通路。2、验证运算功能发生器的组合功能。3、掌握算术逻辑运算加、减、与的工作原理。4、熟悉GW48-CP+实验系统中模式1功能及各引脚的对应关系【实验内容】利用原理图输入或VHDL语言描述实现组内先行进位全加器74LS181算数逻辑运算关系的验证。 【实验步骤】1、建立工程文件 新建文件夹,使用英文名,运行quartus ,新建newvhdl File编辑程序略(复制c:计算机组成原理cp+3c40ch4-exptdemo-42-alucalu181.vhd 粘贴至文件中,用文件名ALU181保存至自建文件夹中)。提示建立项目文件,依次选项yesnextcyclone III EP3C40Q240C8(page 3 of 5 ) finish(出现三个文件alu181.vhd、.qpf 、.qsf)编译:processingStart compliation2、软件仿真新建 newvector waveform file 出现的画面左侧空白双击,进入 insert node or bus 点击node finderpins : alllist 选择A0-A7、B0-B7、S0-S3、M、Cn、Co、F0-F7管脚进行移动。设定各个输入端脚值。(选择管脚点击左侧带问号 设定值 A端55 B端AA、Cn端0、M为0、S0S3为0001、ABF端为16进制)。设定仿真时间editend time。保存为.vwf文件。模拟processingStart simulation。3、锁定引脚选择实验箱工作模式1,分配引脚,Assignmentassignment editor 设定A端A0-A7分别为18、21、22、37、38、39、41、43 B端B0-B7分别为44、45、46、49、50、51、52、55 CN为162 M为161 CO为137F0-F7为78、80、112、113、114、117、118、126S0S3为128、131、132、185本实验需要对162端脚重新设定,选162引脚assignmentsetting device,对话框 device and pin optionsdual-purpose pins nCEO 双击选项选择 use as regular i/o。全编译processing-Start compilation,连接好usb-blaster4、下载至实验箱 toolsprogrammer Hardware setup 双击usb blaster ,选择start下载。【实验要求】1、做好实验预习,掌握运算器的数据传送通路和ALU的功能特性,并熟悉本实验中所用的控制台开关的作用和使用方法。2、按理论分析值填写好表3-1,列表比较实验数据的理论分析值与实验结果值,并对结果进行分析。3、通过本实验,你对运算器的认识是否有所提高,你有什么心得体会?【实验测试数据报告】根据实验情况完成下表:表3-1 算数逻辑运算实验数据表S3 S2 S1 S0A7.0B7.0算术运算 M=0逻辑运算(M=1)cn=1(无进位)cn=0(有进位)0000AA55F=( ) F=( ) F=( ) 0001AA55F=( ) F=( ) F=( ) 0010AA55F=( ) F=( ) F=( ) 0011AA55F=( ) F=( ) F=( ) 0100FF01F=( ) F=( ) F=( ) 0101FF01F=( ) F=( ) F=( ) 0110FF01F=( ) F=( ) F=( ) 0111FF01F=( ) F=( ) F=( ) 1000FFFFF=( ) F=( ) F=( ) 1001FFFFF=( ) F=( ) F=( ) 1010FFFFF=( ) F=( ) F=( ) 1011FFFFF=( ) F=( ) F=( ) 11005501F=( ) F=( ) F=( ) 11015501F=( ) F=( ) F=( ) 11105501F=( ) F=( ) F=( ) 11115501F=( ) F=( ) F=( ) 选做内容:表3-2操作S3S2S1S0MC0DR1DR2运算关系及结果显示Cn逻辑乘66FFDR1 DR2DR2( )传送DR1 DR2 ( )按位加DR1DR2DR2 ( )取反DR2 ( )加1DR2 + 1DR2 ( )求负+ 1 DR2 ( )加法DR1 + DR2DR2 ( )减法DR1 DR2DR2 ( )实验三 存储器实验【实验目的】1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性和配置方法。2、用文本编辑器编辑mif文件配置ROM。3、在初始化存储器编辑窗口编辑mif文件配置ROM。4、验证FPGA中mega_lpm_ROM的功能。【实验内容】学会定制存储单元,利用实验设备实施观察存储器内数据的存储与变化情况。 【实验步骤】一、软件仿真1、建立文件 首先在建立一个文件夹,运行QUARTUS-II,filenewVHDL File,建立VHDL文件,文件保存时使用实体名,本实验的实体名定义为ram_dp0.VHD。(C:/计算机组成原理/CP_3C40/ch4_Expt/DEMO_45_RAM,可直接copy使用)2、编译运行Processingstart compilation,编译时出现错误,修改方法:选择工程名ram_dp0.(对器件做设定)。3、建立波形文件Filenewvector waveform File,将所选总线设置十六进制或二进制的输入数据,选好地址和数据后输入时钟信号,保存.vwf文件。4、编译:Processingstart compilation 无误后仿真Processingsimulation二、硬件仿真(下载程序到机箱)1、引脚分配 首先选择工作模式1,然后进行引脚分配 AssignmentsAssignments Editor,找到模式1中的各器件对应的PIO的值,如表3-3所示。表3-3 引脚对照表地址PIO(07)数据PIO(815)输出数据PIO(2431)Address018Date044Q078Address121Date145Q180Address222Date246Q2112Address337Date349Q3113Address438Date450Q4114Address539Date551Q5117Address641Date652Q6118Address743Date755Q7126实验中利用键7控制WEN信号,键7引脚值为161。2、程序下载编译成功后下载到机箱,Toolsprogrammer,Hard ware setup 找到对应的硬件设备,点击start开始下载。3、实时跟踪也可在显示器上看到实时的数据变化情况,ToolsIn-system Memory Content Editor。【实验要求】1、实验前认真复习LPM-ROM存储器部分的有关内容。2、记录实验数据,写出实验报告。3、你对ROM存储器有何认识,本实验有什么收获?【实验数据与报告】1、完成RAM内容初始化,将地址00H0FH内容置1,10H3FH内容清零的步骤。2、设计数据宽度和地址宽度均为8位的lpm-ram_dp,写出设计步骤。实验四 时序电路产生器实验【实验目的】1、掌握节拍脉冲发生器的设计方法和工作原理。2、理解节拍脉冲发生器的工作原理。【实验内容】采用合理的逻辑部件,完成连续节拍发生电路的设计。【实验原理】时序电路由4个D触发器组成,可产生4个等间隔的时序信号T1-T4,其中CLK为时钟信号,由实验台右边的方波信号源CLOCK0提供,可产生1Hz-12MHz的方波信号频率。学生可根据实验自行选择信号频率。当RST1为低电平时,T1输出为“1”,而T2、T3、T4输出为“0”;当RST1输入为一个负脉冲,T1-T4将在CLK1的输入脉冲作用下,周期性地轮流输出正脉冲,机器进入连续运行状态(EXEC)。【实验步骤】1、将实验电路与输入开关组和LED输出显示电路连接2、按KEY8键,产生CLK信号。3、观察在不同的CLK信号下所产生的节拍,显示LED的亮灭。4、重复步骤2,3,产生不同的节拍脉冲,观察显示灯LED的亮灭。5、绘出相应的时序波形图。【实验要求】1、熟悉实验原理;2、根据要求绘制实验原理图;3、绘制相应的时序波形图。【实验原理图与波形报告】1、绘制节拍脉冲发生器原理电路图2、绘制节拍脉冲发生器工作波形实验五 程序计数器PC与地址寄存器AR实验【实验目的】1、掌握地址单元的工作原理。2、掌握程序计数器的两种工作方式,加1计数和重装计数器初值计数的实现方法。3、掌握地址寄存其从程序计数器获得数据和从内部总线获得数据的实现方法。【实验内容】利用实验设备,根据数据通路实现地址切换来获取内存不同性质的数据。【实验原理】计算机中的程序计数器PC用以指出下条指令在主存中的存放地址,CPU正是根据PC的内

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论