




已阅读5页,还剩5页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
数字系统设计实践等精度频率测量技术 学 院: 专 业: 成 员: 学 号: 指导教师 完成日期 摘要:频率计的主要功能是准确测量出待测频率的频率、周期、脉宽及占空比。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。关键字:频率、周期、标准时钟、乘除运算、分频、十进制显示、锁存方案比较: (1)用单片机实现:等精度数字频率计涉及到的计算包括加,减,乘,除,耗用的资源比较大,用一般中小规模CPLD/FPGA芯片难以实现。因此,我们选择单片机和CPLD/FPGA的结合来实现。电路系统原理框图如图所示,其中单片机完成整个测量电路的测试控制,数据处理和显示输出;CPLD/FPGA完成各种测试功能;键盘信号由AT89C51单片机进行处理,它从CPLD/FPGA读回计数数据并进行运算,向显示电路输出测量结果;显示器电路采用七段LED动态显示,由8个芯片74LS164分别驱动数码管。(2) FPGA的实现 频率计用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1s。闸门时间也可以大于或小于1s。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测得频率值刷新就越快,但测得的频率精度就受影响。基于FPGA的等精度频率计有运算速度快、系统较稳定、测量范围广等特点。其中主要应用到EDA(电子设计自动化)技术。伴随着集成电路技术的发展,EDA逐渐成为重要的设计手段。已经广泛应用于模拟与数字电路系统等许多领域。EDA是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机为工作平台,促进了工程发展。本设计利用FPGA进行测频计数,单片机实施控制实现频率计的设计过程。该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。1、 设计任务与要求1.1 设计任务: 设计一个简易等精度频率计。1.2 要求: a.测量原理 多周期同步测量(等精度测量) b.测量范围 信号:方波;幅度:TTL电平 频率:1Hz1MHz c.测试误差 0.1%(全量程) d.闸门时间:1s,响应时间:2s 乘除运算:单片机、FPGA、计算器 计算:时钟频率 扩展:脉宽、周期、占空比测量 显示:十进制(分量程?显示位数?) 2、 方案说明2.1基本原理说明:在同步控制门的作用下,产生一个与被测信号同步的闸门信号来改进低频段测量的不准确性。由于同步控制门的同步作用,事件记录器记录的Nx值不存在误差,但时钟信号与闸门信号无确定的相位关系,时间记录器记录的N0值存在1的误差。2.2方案说明:输入端:显示器清零端clr外部被测信号Fx输出端:以十进制的形式显示被测信号的频率误差估计:误差与被测频率fx无关,这就是等精度测量方法。|误差|=1/(T*fs)0.1%,预闸门脉宽T为1s,fs为10KHz,误差约为万分之一。预置闸门脉冲发生器十进制显示D QC 同步电路计数器ANx=fx*T输入信号FX闸门A 同步化后的清零端clr运算电路Fx=Nx*fc/Nc 闸门脉冲Q时钟发生器Fc计数器BNc=fc*T闸门B 3 系统设计3.1系统模块:1.输入模块: 图2-4模块说明:Res系统清零端Fx由信号发生器产生被测的方波信号Fc芯片外时钟发生器,根据误差要求设定为10KHz2. 分频器模块: 图2-5模块说明:由Fc作为时钟脉冲,仿照单片机的延时电路和计数器,Tc=1/10000s,跳10K下控制输出翻转一下,从而产生脉宽为1s的门控信号。library ieee;use ieee.std_logic_1164.all;entity fenpin isport( clk,nr: in std_logic; clk1: out std_logic);end fenpin;architecture a of fenpin issignal f: integer range 0 to 1048575;signal c: std_logic;begin process (clk,nr) begin if nr = 1 then f=0;c=0; elsif (clkevent and clk = 1)then if f9999 then f=f+1; else f=0; c= not c; end if; end if; end process; clk1=c;end a;3. 同步电路模块: 图2-6模块说明:产生同步的闸门脉冲信号。library ieee;use ieee.std_logic_1164.all;entity dchufa isport( cp,n: in std_logic; q: out std_logic);end dchufa;architecture a of dchufa isbegin process (cp,n) begin if (cpevent and cp = 1)then q=n; end if; end process;end a;4.门控闸门计数模块: 图2-7模块说明:利用计数器分别求出在1s的门控信号内被测信号fx与系统时钟fc所经过的个数Nx和Nc,等将数据存入寄存器后,经过三个非门的时钟闸门信号对计数器进行清零,以免计数器进行累加。位数说明见运算模块。library ieee;use ieee.std_logic_1164.all;entity jicunqi1 isport(cp: in std_logic;m:in integer range 0 to 1048575;o: out integer range 0 to 1048575);end jicunqi1;architecture a of jicunqi1 isbeginprocess (m,cp)beginif (cpevent and cp = 0)theno=m;end if;end process;end a;5.运算模块: 图2-8模块说明:Fx=Nx*fc/Nc,先将Nc*10K,然后放大1000倍,将小数部分升为整数部分,保证计算的精度。1M小于1024*1024,即2的20次方,而且十进制的1000000转成二进制的11110100001001000000需要20位,换言之二进制的11111111111111111111转成十进制的1048575为理论最大被测频率1.04MHz,同理10K10011100010000,要14位。6.除法器进制转化模块: 图2-9模块说明:该模块可处理最大111111111111111111111111111111(2进制)30位1.07M(10进制),从运算模块出来的最大也就1.04M 48位,高位均为零,为了节省资源取后30位,不影响精度。仿照十进制的处理方法,除数为10,一位一位的输出。为了节省资源改进了除法器进制转化模块,说明如下:被除数序号10进制2进制位数余数商0999999999910010101000000101111100011111111113419999999991110111001101011001001111111113099999999929999999910111110101111000001111111127999999993999999910011000100101100111111124999999949999991111010000100011111120999999599999110000110100111111799999699991001110000111114999979991111100111109998991100011799991001490100000 表2-1按照表格对除法器进行设计,避免了资源的浪费。7.控制输出模块: 图2-10模块说明:参照表2-1,经过第九个除法器后的商即为data10,若data10大于0,那么结果的整数位数为(10-3)减去前面用乘法器放大的位数,即7位,为1M级的,若data10不大于0,如表,结果为9-3=6位,最高位为data9,次位为data8,直到data6,最后输出整数的位数。library ieee;use ieee.std_logic_1164.all;entity shuchu isport(data1,data2,data3,data4,data5,data6,data7,data8,data9,data10:in integer range 0 to 15;res: in std_logic;q1,q2,q3,q4,q5: out integer range 0 to 15);end shuchu;architecture a of shuchu isbeginprocess (data1,data2,data3,data4,data5,data6,data7,data8,data9,data10)beginif res = 1 thenq1=0;q5=0;q4=0;q3=0;q20 thenq1=7;q5=data10;q4=data9;q3=data8;q20 thenq1=6;q5=data9;q4=data8;q3=data7;q20 thenq1=5;q5=data8;q4=data7;q3=data6;q20 thenq1=4;q5=data7;q4=data6;q3=data5;q20 thenq1=3;q5=data6;q4=data5;q3=data4;q20 thenq1=2;q5=data5;q4=data4;q3=data3;q20 thenq1=1;q5=data4;q4=data3;q3=data2;q2=data1;elseq1=0;q5=0;q4=0;q3=0;q2=0;end if;end if;end process;end a;4、 系统测试系统时钟fc被测信号fx显示结果实测值fx误差计算10KHz1.396 Hz139511.395 Hz-0.07%9.356 Hz935219.352 Hz-0.04%94.47 Hz9446294.46 Hz-0.01%944.8 Hz94493944.9 Hz+0.10%10.87 KHz1087510.87 KHz 0.00%952.8 KHz85276952.7 KHz+0.10%1.003 MHz10037100.3 MHz 0.00
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 质性研究论文撰写课件
- 2025版环保设备定期检查与维修合同样本
- 2025年度医院病房地毯采购与专业铺设服务合同
- 2025版起重机租赁合同(含设备检测)范本
- 2025版让与担保合同样本:仓储物流服务
- 2025版企业可持续发展培训项目承包合同范本
- 2025年度水利工程土方回填及水土保持工程合同
- 2025地磅交易与远程故障诊断服务合同
- 诸城牙科小知识培训班课件
- 语言文字知识培训讲稿课件
- 台海形势课件
- 采石场人员管理制度
- 2025医疗机构劳动合同模板
- 浙江甬岭数控刀具有限公司年产600万只U钻、50万套镗刀技改项目环评报告
- DB31/ 653-2012通信基站空调能效限定值
- GB/T 45569-2025压水堆核电厂反应堆冷却剂系统设备和管道保温层设计准则
- 金矿居间合同协议书
- 酒店安全考试试题及答案
- 珠宝店员工保密协议合同
- 关节镜的使用和管理
- 2025届吉林省通化市梅河口市高考一模地理试题(原卷版+解析版)
评论
0/150
提交评论