宿迁学院《数字逻辑电路》课程设计交通灯设计.doc_第1页
宿迁学院《数字逻辑电路》课程设计交通灯设计.doc_第2页
宿迁学院《数字逻辑电路》课程设计交通灯设计.doc_第3页
宿迁学院《数字逻辑电路》课程设计交通灯设计.doc_第4页
宿迁学院《数字逻辑电路》课程设计交通灯设计.doc_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

l 目录一 设计任务及要求二 总体设计方案 三 控制电路设计 l 3.1 控制电路工作原理l 3.2控制电路设计过程四 倒计时电路设计l 4.1具有同步置数功能的十进制减法计数器设计l 4.2主干道和支干道倒计时电路设计五 译码显示电路设计l 5.1动态显示工作原理l 5.2动态显示及译码电路设计六 总体电路设计l 6.1总体电路l 6.2电路工作说明七 电路仿真调试l 7.1控制电路仿真调试l 7.2倒计时电路仿真调试l 7.3译码显示电路仿真调试l 7.4总体电路仿真调试,下载验证八 改进意见及收获体会 参考文献 一、设计任务及要求1设计一个用于十字路口的交通灯控制器。能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。2.具有倒计时功能。用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。3黄灯每秒闪亮一次。 二、总体方案时钟控制器倒计时计数器红绿灯显示扫描、译码数码管交通灯控制器的功能框图设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且均用0表示灭,1表示亮,则交通灯有如下四种输出状态: 状态G1Y1R1G2Y2R2S0001 0 00 0 1S1010 1 00 0 1S2100 0 11 0 0S3110 0 10 1 0主干道倒计时显示:S0S1S2S3600105015006 0501支干道倒计时显示: 6506050145 010501通过以上观察可发现: 当主干道或者支干道的倒计时计数值为01时,控制器将从当前状态转入下一个状态。因此,计数值01可作为控制器状态转换的条件,同时也可产生同步置数信号,将下一状态的计数初值置入计数器。三、控制电路设计3.1控制电路设计原理(1)四状态循环的实现(2)主干道和支干道信号灯的实现S0S1S2S3T1=1T1=1T1=1T1=1T1=0T1=0T1=0T1=03.2控制电路设计过程采用4位二进制计数器74161实现控制器的四个状态循环。当倒计时计数值为01时T1=1,作为7161的计数使能信号。主干道T1支干道T1161的EN状态QBQAG1Y1R1G2Y2R2S0001 0 00 0 1S1010 1 00 0 1S2100 0 11 0 0S3110 0 10 1 0G 1 = Q BQ A= m 0 = Y 0Y 1 = Q BQ A= m 0 = Y 0R 1 = Q BQ A +Q BQ A = m 2 m 3 = Y 2 Y 3G 2 = Q BQ A= m 2 = Y 2Y 2 = Q BQ A= m3 = Y 3R 2 = Q BQ A +Q BQ A = m 0 m 1 = Y 0 Y 1四、倒计时电路设计(1)由具有同步置数功能的十进制减法计数器实现。(2)将2片级联实现2位十进制减法计数器。(3)当主干道或者支干道减法计数器值为01时,产生同步置数信号,将下一状态计数初值置入。状态主干道预置数支干道预置数D7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D0S0000 0 0 00 1 0 10 0 0 00 1 0 1S1010 1 0 10 0 0 00 1 0 00 1 0 1S2100 0 0 00 1 0 10 0 0 00 1 0 1S3110 1 1 00 0 0 00 1 1 00 1 0 14.11具有同步置数功能的十进制减法计数器现态次态CPLDNQ3Q2Q1Q0Q3Q2Q1Q0(D3D2D1D0)11 0 0 11 0 0 01 0 0 00 1 1 10 1 1 10 1 1 00 1 1 00 1 0 10 1 0 10 1 0 00 1 0 00 0 1 10 0 1 10 0 1 00 0 1 00 0 0 10 0 0 10 0 0 00 0 0 01 0 0 10X X X XD C B A(1)LDN=1时: 通过卡诺图分别求解驱动方程D3D2D1D0(2)LDN=0时: D3D2D1D0=DCBA4.12将2片级联实现2位十进制减法计数器。4.2主干道和支干道倒计时电路设计当主干道或者支干道减法计数器值为01时,产生同步置数信号,将下一状态计数初值置入状态主干道预置数支干道预置数D7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D0S0000 0 0 00 1 0 10 0 0 00 1 0 1S1010 1 0 10 0 0 00 1 0 00 1 0 1S2100 0 0 00 1 0 10 0 0 00 1 0 1S3110 1 1 00 0 0 00 1 1 00 1 0 1五、译码显示电路设计5.1动态显示工作原理(1)EDA实验板上一共有8个数码管,如果按照传统的数码管驱动方式,则需要8个七段译码器和64个I/O口进行驱动,这样就会浪费大量的资源。所以最常见的数码管驱动电路为动态扫描显示。(2)数码扫描显示原理:利用人眼的视觉暂留效应,把多个数码管按一定顺序进行点亮(显示)。当点亮的频率(即扫描频率)不大时,人眼看到的是数码管一个个的依次点亮,然而扫描频率足够大时,看到的不再是一个一个的点亮,而是全部同时点亮。(3)共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出,三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码输入端。5.2动态显示及译码电路设计以上为译码编码截图以上为电路图截图六、总体电路设计6.1总体电路6.2电路工作说明由同步时钟对控制器和倒计时计数器进行脉冲控制,然后控制器对红绿灯的亮、暗进行控制操作,而倒计时计数器,通过显示扫描和译码电路,输入数码管,进行显示,然后显示出倒数的数字,方便阅读。七、电路仿真调试7.1控制电路仿真调试7.2倒计时电路仿真调试7.3译码显示电路仿真调试7.4总体电路仿真调试,下载验证八、 改进意见及收获体会经过一个半星期的实习,过程曲折可谓一语难尽。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长通过这次课程设计,加强了我们动手、思考和解决问题的能力。这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论