




已阅读5页,还剩20页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
广州大学松田学院课 程 设 计 说 明 书题 目 姓 名 专 业 班 级 0 系(部) 指导教师 二0一0 年 十二 月 二十三 日目 录摘要2第1章 绪论31.1选电梯的简介和历史.31.2电梯的组成.3第2章 电梯控制硬件设计72.1Quartus II软件环境简介72.2电梯请求控制系统电路模块82.3数码管显示电路模块82.48*8点阵接口电路模块92.5电梯控制的完整电路图10第3章 电梯控制的软件设计103.1VHDL语言介绍103.2电梯控制设计方案103.3系统软件设计123.3.1 电梯运行情况123.4程序设计说明143.4.1端口说明153.5各个模块的程序说明16 结论17 致谢17 参考文献18 附录17摘要本课题是电梯控制的程序设计,本设计是基于电子设计自动化(Electronic Design Automation)技术中的超高速集成电路硬件描述语言VHDL(Very High Speed Integrated Circuit Hardware Description Language)所开发的三层电梯控制程序,实验调试平台是Altera公司的Quartus II软件。本程序具有VHDL语言设计里最为常用的三个模块:实体entity,对控制器的实体(输入输出)端口进行定义;结构体architecture,对控制器内部的信号端以及寄存器进行定义;进程执行单元process,对控制器的所有输入输出端口、内部信号端口以及寄存器进行功能、行为描述。通过程序调试及运行仿真,结果表明,本程序可以完成:电梯运行所在楼层指示、电梯运行方向指示、开关门延时设置、电梯请求指示等。电梯控制的设计主要由三部分组成:8*8点阵接口电路、数码管显示电路、电梯请求控制系统电路等。 关键词: 电梯控制,电子设计,VHDL,EDA,8*8矩阵键盘第1章 绪论1.1 电梯的定义和历史简介电梯的定义与简介英译:elevator(一般商业用此词);lift;moving staircase一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物。也有台阶式,踏步板装在履带上连续运行,俗称自动电梯。服务于规定楼层的固定式升降设备。它具有一个轿厢,运行在至少两列垂直的或倾斜角小于15的刚性导轨之间。轿厢尺寸与结构形式便于乘客出入或装卸货物。 电梯1习惯上不论其驱动方式如何,将电梯作为建筑物内垂直交通运输工具的总称。近几年来,随着国际社会对环保认识的关注,各大电梯公司现在在其电梯表面基本都采用了粉末涂料喷涂,这是一种新型环保无溶剂的涂料,并且各种性能皆优于油漆。历史:按速度可分低速电梯(1米秒以下)、快速电梯(12米秒)和高速电梯(2米秒以上)。19世纪中期开始采用液压电梯,至今仍在低层建筑物上应用。1852年,美国的E.G.奥蒂斯研制出钢丝绳提升的安全升降机。80年代,驱动装置有进一步改进,如电动机通过蜗杆传动带动缠绕卷筒、采用平衡重等。19世纪末,采用了摩擦轮传动,大大增加电梯的提升高度。结构:电梯的结构包括:四大空间,八大系统四大空间:机房部分、井道及地坑部分、轿厢部分、层站部分。八大系统曳引系统、导向系统、轿厢、门系统、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统功能:现代电梯主要由曳引机(绞车)、导轨、对重装置、安全装置(如限速器、安全钳和缓冲器等)、信号操纵系统、轿厢与厅门等组成。这些部分分别安装在建筑物的井道和机房中。通常采用钢丝绳摩擦传动,钢丝绳绕过曳引轮,两端分别连接轿厢和平衡重,电动机驱动曳引轮使轿厢升降。电梯要求安全可靠、输送效率高、平层准确和乘坐舒适等。电梯的基本参数主要有额定载重量、可乘人数、额定速度、轿厢外廓尺寸和井道型式等。 电梯3简单使用方法(紧急情况下面有解决方法)目前载人电梯都是微机控制的智能化、自动化设备,不需要专门的人员来操作驾驶,普通乘客只要按下列程序乘坐和操作电梯即可。(1)在乘梯楼层电梯入口处,根据自己上行或下行的需要,按上方向或下方向箭头按钮,只要按钮上的灯亮,就说明你的呼叫已被记录,只要等待电梯到来即可。(2)电梯到达开门后,先让轿厢内人员走出电梯,然后呼梯者再进入电梯轿厢。进入轿厢后,根据你需要到达的楼层,按下轿厢内操纵盘上相应的数字按钮。同样,只要该按钮灯亮,则说明你的选层已被记录;此时不用进行其他任何操作,只要等电梯到达你的目的层停靠即可。(3)电梯行驶到你的目的层后会自动开门,此时按顺序走出电梯即结束了一个乘梯过程。电梯的历史发展电梯发明过程电梯进入人们的生活已经150年了。1854年,在纽约水晶宫举行的世界博览会上,美国人伊莱沙格雷夫斯奥的斯第一次向世人展示了他的发明。他站在装满货物的升降梯平台上,命令助手将平台拉升到观众都能看得到的高度,然后发出信号,令助手用利斧砍断了升降梯的提拉缆绳。令人惊讶的是,升降梯并没有坠毁,而是牢牢地固定在半空中奥的斯先生发明的升降梯安全装置发挥了作用。“一切安全,先生们。”站在升降梯平台上的奥的斯先生向周围观看的人们挥手致意。谁也不会想到,这就是人类历史上第一部安全升降梯。电梯4人类利用升降工具运输货物、人员的历史非常悠久。早在公元前2600年,埃及人在建造金字塔时就使用了最原始的升降系统,这套系统的基本原理至今仍无变化:即一个平衡物下降的同时,负载平台上升。早期的升降工具基本以人力为动力。1203年,在法国海岸边的一个修道院里安装了一台以驴子为动力的起重机,这才结束了用人力运送重物的历史。英国科学家瓦特发明蒸汽机后,起重机装置开始采用蒸汽为动力。紧随其后,威廉汤姆逊研制出用液压驱动的升降梯,液压的介质是水。在这些升降梯的基础上,一代又一代富有创新精神的工程师们在不断改进升降梯的技术。然而,一个关键的安全问题始终没有得到解决,那就是一旦升降梯拉升缆绳发生断裂时,负载平台就一定会发生坠毁事故。奥的斯先生的发明彻底改写了人类使用升降工具的历史。从那以后,搭乘升降梯不再是“勇敢者的游戏”了,升降梯在世界范围内得到广泛应用。1889年12月,美国奥的斯电梯公司制造出了名副其实的电梯,它采用直流电动机为动力,通过蜗轮减速器带动卷筒上缠绕的绳索,悬挂并升降轿厢。1892年,美国奥的斯公司开始采用按钮操纵装置,取代传统的轿厢内拉动绳索的操纵方式,为操纵方式现代化开了先河。生活在继续,科技在发展,电梯也在进步。150年来,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等等,多台电梯还出现了并联控制,智能群控;双层轿厢电梯展示出节省井道空间,提升运输能力的优势;变速式自动人行道扶梯的出现大大节省了行人的时间;不同外形扇形、三角形、半菱形、半圆形、整圆形的观光电梯则使身处其中的乘客的视线不再封闭。如今,以美国奥的斯公司为代表的世界各大著名电梯公司各展风姿,仍在继续进行电梯新品的研发,并不断完善维修和保养服务系统。调频门控、智能远程监控、主机节能、控制柜低噪音耐用、复合钢带环保一款款集纳了人类在机械、电子、光学等领域最新科研成果的新型电梯竞相问世,冷冰冰的建筑因此散射出人性的光辉,人们的生活因此变得更加美好。电梯由来1887年,美国奥梯斯公司制造出世界上第一台电梯,这是一台以直流电动机传动的电梯。它被装设在1889年纽约德玛利斯大厦。这座古老的电梯,每分钟只能走10米左右。当初设计的电梯纯粹是为了省力。1900年,以交流电动机传动的电梯开始问世。1902年,瑞士的迅达公司研制成功了世界上第一台按钮式自动电梯,采用全自动的控制方式,提高了电梯的输送能力和安全性。随着超高层建筑的出现,电梯的设计、工艺不断得到提高,电梯的品种也逐渐增多。1900年,美国奥梯斯公司制成了世界上第一台电动扶梯。1950年又制成了安装在高层建筑外面的观光电梯,使乘客能在电梯运行中清楚地眺望四周的景色。电梯5中国最早的一部电梯出现在上海,是由美国奥的斯公司于1901年安装的。1932年由美国奥的斯公司安装在天津利顺德酒店的电梯至今还在安全运转着。1951年,党中央提出要在天安门安装一台由我国自行制造的电梯,天津从庆生电机厂荣接此任,四个月后不辱使命,顺利地完成了任务。十一届三中全会后,沐浴着改革开放的春风,我国电梯业进入了高速发展的时期。如今,在我国任何一个城市,电梯都在被广泛应用着。电梯给人们的生活带来了便利,也为我国现代化建设的加速发展提供了强大的保障。1.2 电梯的组成电梯的组成曳引系统曳引系统的主要功能是输出与传递动力,使电梯运行。曳引系统主要由曳引机、曳引钢丝绳,导向轮,反绳轮组成。导向系统导向系统的主要功能是限制轿厢和对重的活动自由度,使轿厢和对重只能沿着导轨作升降运动。导向系统主要由导轨,导靴和导轨架组成。轿厢轿厢是运送乘客和货物的电梯组件,是电梯的工作部分。轿厢由轿厢架和轿厢体组成。门系统门系统的主要功能是封住层站入口和轿厢入口。门系统由轿厢门,层门,开门机,门锁装置组成。重量平衡系统系统的主要功能是相对平衡轿厢重量,在电梯工作中能使轿厢与对重间的重量差保持在限额之内,保证电梯的曳引传动正常。系统主要由对重和重量补偿装置组成。电力拖动系统电力拖动系统的功能是提供动力,实行电梯速度控制。电力拖动系统由曳引电动机,供电系统,速度反馈装置,电动机调速装置等组成。电气控制系统电气控制系统的主要功能是对电梯的运行实行操纵和控制。电气控制系统主要由操纵装置,位置显示装置,控制屏(柜),平层装置,选层器等组成。安全保护系统保证电梯安全使用,防止一切危及人身安全的事故发生。由电梯限速器、安全钳、缓冲器、安全触板、层门门锁、电梯安全窗、电梯超载限制装置、限位开关装置组成。第2章 电梯控制硬件设计2.1 Quartus II软件环境简介Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。2.2 电梯请求控制系统电路模块模块veryhard见图1,该模块是整个程序的核心,主要设计思想是将电梯的状态划分为四个:一层状态(c1),二层状态(c2),三层状态(c3),开门状态(kai),对每一个状态分析其所有的可能。图1 模块veryhard2.3 数码管显示电路模块模块lcxs见图2,该模块的功能是将楼层显示的信号转换成可以用数码管显示的信号。 图2 模块lcxs2.4 8*8点阵接口电路模块模块sxmod见图3。该模块的功能是将电梯工作模式利用8*8点阵显示出来。图3 模块sxmod2.5 电梯控制的完整电路图电梯控制的完整电路图见图4。 图4 完整电路图第3章 电梯控制的件设计3.1 VHDL语言介绍VHDL语言于1983年由美国国防部发起创建,由电工和电子工程师协会(the Institute of Electrical and Electronics Engineers)进一步发展并在1987年作为“IEEE 1076”发布。从此,VHDL成为硬件描述语言的业界标准之一。VHDL作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。3.2 梯控制设计方案随着电子技术的发展,EDA技术在工业控制系统中得到了广泛的应用,在电梯控制电路上采用EDA技术进行开发,越来越受到人们的重视。EDA技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数VHDL语言最受设计者的欢迎。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。近几年来,硬件描述语言等设计数据格式的逐步标准化,不同设计风格和应用的要求导致各具特色的EDA工具被集成在同一个工作站上,从而使EDA框架日趋标准化。VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。其行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。VHDL语言的设计单元包括实体(entity)、结构体(architecture)、程序包(package)以及配置(configration)。初级设计单元实体(entity),是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,靠配置把顶层实体和底层实体连接起来。实体说明中还可以说明数据类型、子程序和常量等数据信息,实体语句常用于描述设计常用到的判断和检查信息。次级设计单元结构体(architecture),实体的结构体具有描述实体的行为功能,一个实体可以有多个结构体,一种可能为行为描述,另一种可能为结构描述。结构体能以行为、数据流和结构等多种方式描述实体。VHDL语言还包括程序包(package)和配置(configration)初级设计单元。VHDL语言的基本术语往往能体现其严密的逻辑结构:VHDL语言的基本术语包括进程(process)、类属(generic)、属性(attribute)以及驱动(drive)。进程(process)是VHDL中的基本执行单元,仿真时把所有的操作划分为单个或多个进程。进程内部只含顺序执行的语句,即一串信号赋值中仅最后的赋值有效。进程内不能说明信号,而变量在进程内说明。其具体的流程图如图5所示。设计思想及模块化设计输入设计实现设计仿真器件编程系统测试图5 VHDL设计流程图3.3 系统软件设计流程分析3.3.1 电梯处于各楼层时的运行情况1) 处于一楼时不管是梯内梯外,电梯都只可能接收到上升的请求信号。此时,电梯就进入预上升状态,准备作上升运行。如果电梯没有接收到请求信号,电梯则在一楼待机。其分析图如图6所示。2) 处于二楼时电梯则可能出现三种情况: 电梯并没有接收到梯内梯外的任何请求信号时,电梯返回一楼待机; 电梯接收到上升请求信号,进入预上升状态; 电梯接收到下降请求信号,进入预下降状态。其分析图如图7所示。3) 处于三楼时不管是梯内梯外,电梯都只可能接收到下降的请求信号。此时,电梯就进入预下降状态,准备作下降运行。如果电梯没有接收到请求信号,电梯则返回一楼待机。图9 电梯运行状态图电梯的运行规则确立后,需对整个控制程序的设计作一个流程规范。对程序进行模块化构思。根据VHDL语言的规则,程序必须由最基本的实体和结构体构成。实体对控制器的端口进行定义,结构体对各端口的行为进行描述。因此程序运行需经过以下流程: VHDL库调用;确立控制器的端口及相关的寄存器;根据电梯运行规则,设计相关运行描述;对电梯内外信号进行处理。具体流程图如图10所示:程序开始VHDL库调用设置控制器的端口设置相关的寄存器电梯运行规则描述电梯信号处理程序结束图10 总程序流程图3.4 程序设计说明3.4.1 端口说明功能要求得到本程序设计的端口包括:时钟信号(clk);一楼电梯外的上升请求信号(up1)、二楼电梯外的上升请求信号(up2);二楼电梯外的下降请求信号(down2)、三楼电梯外的下降请求信号(down3);电梯内请求到达一楼信号(k1)、电梯内请求到达二楼信号(k2)、电梯内请求到达三楼信号(k3);到达一楼信号(a1)、到达二楼信号(a2)、到达三楼信号(a3);电梯门控制信号(door);电梯所在楼层显示(q6.0);电梯运动方向显示(dian0.7);3.5 各个模块的程序说明电梯请求控制系统电路模块程序:见附录一数码管显示电路模块:见附录二8*8点阵接口电路模块:见附录三 结论 在经过几个星期的时间,我利用空余时间,查阅了关于EDA-VHDL语言电梯控制设计的相关资料,也使我真正了解到电梯在现代社会的重要性,而本设计控制电梯的系统由VHDL语言来完成,而在完成电梯控制设计的过程中,也使得我对EDA-VHDL语言有了更好的掌握和理解。 总体来说,该电梯控制系统设计很好的完成了简单电梯控制系统,但是不论是在硬件还是软件,都可以通过后续的努力加以改进,主要为以下几个方面:1.在硬件上,通过设计更为复杂的外围电路来实现电梯控制的智能化处理,考虑加入控制器来完成电梯的多信息采集处理功能。2.在软件上,目前软件运行的效率不是很高,可以考虑通过对算法的分析,简化程序,提高单片机调试的速度。3.在总体设计上,考虑到更为智能的电梯系统,可以通过调研了解电梯的智能化发展方向,提出更为全面复杂的电梯控制方案及多电梯协同运行策略分析等功能。 致谢 在即将结束本文,完成毕业设计的时刻,我要向所有在我毕业设计阶段乃至我大学四年帮助过我的老师和同学致以深深的谢意,感谢他们在学习和生活上给我的帮助。.通过本次毕业设计,我在指导老师吴琦的精心指导和严格要求下,获得了丰富的理论知识,极大地提高了实践能力,并对当前电子领域的研究状况和发展方向有了一定的了解,单片机领域这对我今后进一步学习计算机方面的知识有极大的帮助。在设计中遇到了很多编程问题,最后在吴老师的辛勤指导下,在同学的热情帮助下,终于迎刃而解。同时,在吴老师的身上我学到很多实用的知识,在此我表示感谢!最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢! 参考文献1 .潘松,VHDL实用教程,成都,电子科技大学出版社,20032 .谢正光,数字系统设计中VHDL语言设计问题探讨,微型机与应用,20043 .田萍 刘新云,用VHDL语言实现数字系统,现代电子技术,20044 .谭会生 张昌凡,EDA技术与应用,西安电子科技大学出版社,20045 .潘松 黄继生,EDA技术应用教程,北京科学出版社,2005附录 第四章 源程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity threelift isport(clk,reset,up1,up2,down2,down3,stop1,stop2,stop3: in std_logic;uplight,downlight,stoplight: buffer std_logic_vector(3 downto 1);udsig:buffer std_logic;position:buffer integer range 1 to 3;doorlight:out std_logic);end threelift;architecture behav of threelift istype state_type is(stopon1,dooropen,doorclose,waitl,wait1,wait2,wait3,wait4,up,down,stop);signal state:state_type:=stopon1;signal clearup,cleardn,buttclk,fliclk:std_logic;signal q : std_logic_vector(3 downto 0);beginprocess(clk)beginif reset=1 thenq=0000;elsif rising_edge(clk) thenq=q+1;end if;buttclk=q(0);fliclk=q(3);end process;cont:process(reset,fliclk)variable pos:integer range 3 downto 1;beginif reset=1 thenstate=stopon1;clearup=0;cleardndoorlight=1;position=1;pos:=1;statestateclearup=0;cleardn=0;statestatestatedoorlight=0;if udsig=0 then -上升情况if position=3 then -电梯在三楼if stoplight=000and uplight=000and downlight=000 thenudsig=1;state=doorclose;else udsig=1;state=down;end if;elsif position=2 then -电梯在二楼if stoplight=000and uplight=000and downlight=000 thenudsig=0;state=doorclose;elsif stoplight(3)=1 or downlight(3)=1 thenudsig=0;state=up;elseudsig=1;state=down;end if;elsif position=1 then -电梯在一楼if stoplight=000and uplight=000and downlight=000 thenudsig=0;state=doorclose;elseudsig=0;state=up;end if;end if;end if;if udsig=1 then -下降情况if position=1 then -电梯在一楼if stoplight=000and uplight=000and downlight=000 thenudsig=0;state=doorclose;elseudsig=0;state=up;end if;elsif position=2 then -电梯在二楼if stoplight=000and uplight=000and downlight=000 thenudsig=
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年开封杞县消防救援大队招聘政府专职消防员10人模拟试卷及答案详解一套
- 丰都石纹铝单板施工方案
- 2025年度南阳开元学校高中部招聘教师10人考前自测高频考点模拟试题及答案详解(历年真题)
- 2025内蒙古鄂尔多斯市水发燃气有限公司招聘6人考前自测高频考点模拟试题有完整答案详解
- 智能教学效果评价-洞察及研究
- 2025年牡丹江市高校毕业生留牡来牡就业创业专项行动工作的考前自测高频考点模拟试题含答案详解
- 数字化转型与中介服务-洞察及研究
- 夜间地下车库施工方案
- 禅意民宿施工方案范本
- 2025年黑河逊克县乡村医生公开招聘19人考前自测高频考点模拟试题完整参考答案详解
- 石棉矿域生态修复法
- 儿童入园(所)健康检查表
- (正式版)JBT 14581-2024 阀门用弹簧蓄能密封圈
- 幼儿园-消毒工作流程图
- 电缆修理工安全生产责任制
- 拼音拼读音节带声调完全版
- 2024被动式超低能耗(居住)绿色建筑节能设计标准
- 某桥梁箱涵、箱通工程监理细则
- 中铝中州矿业有限公司禹州市方山铝土矿矿山地质环境保护和土地复垦方案
- 【教案】圆锥曲线光学性质的数学原理及应用教学设计人教A版(2019)选择性必修第一册
- 2021年12月12日河北省直机关遴选公务员笔试真题及答案解析
评论
0/150
提交评论