时钟日历研制.doc_第1页
时钟日历研制.doc_第2页
时钟日历研制.doc_第3页
时钟日历研制.doc_第4页
时钟日历研制.doc_第5页
已阅读5页,还剩34页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2 实时日历电子钟的总体设计2.1 系统概述按照系统设计功能的要求,初步确定设计系统由主控模块、时钟模块、存储模块、键盘接口模块、显示模块和闹铃模块共6个模块组成,电路系统构成框图如图2-1所示。主控芯片使用52系列AT89S52单片机,时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。DS1302作为主要计时芯片,可以做到计时准确。更重要的是,DS1302可以在很小电流的后备 (2.55.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源基本不耗电。人机接口显示电路软件控制程序电源电路单片机控制电路图 2-1 系统框图2.2 方案选择在以单片机为核心构成的装置中,经常需要一个实时的时钟和日历,以便对一些实时发生事件记录时给予时标,实时时钟芯片便可起到这一作用。过去多用并行接口的时钟芯片,如MC146818,DS12887等。它们已能完全满足单片机系统对实时时钟的要求,但是这些芯片与单片机接口复杂、占用地址, 数据总线接线多、芯片体积大占用空间多、近年来串行接口的各种芯片在单片机系统中应用愈来愈多,串行接口的实时时钟芯片也出现了不少,DS1302是一个综合性能较好且价格便宜的串行接口实时时钟芯片。利用单片机进行控制,采用DS1302作为实时时钟芯片,其三线接口SCLK、I/O、/RST与单片机进行同步通信,外加掉电存储电路、显示电路、键盘电路,即构成一个基本的电子万年历系统,若还要添加其他功能,在这基础上外扩电路即可。393 系统硬件电路的设计按照系统设计功能的要求,初步确定设计系统由主控模块、时钟模块、存储模块、键盘接口模块、显示模块和闹铃模块共6个模块组成,电路系统构成框图如图3-1所示。主控芯片使用51系列AT89C51单片机,时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。DS1302作为主要计时芯片,可以做到计时准确。更重要的是,DS1302可以在很小电流的后备 (2.55.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源基本不耗电。(89C51)主控模块DS1302时钟电路键扫描电路液晶显示存储电路闹铃电路图3-1 实时日历时钟电路系统构成框图3.1 系统核心部分闪电存储型器件AT89C513.1.1 AT89C51具有下列主要性能: 8KB可改编程序Flash存储器(可经受1000次的写入/擦除周期) 全静态工作:0Hz24MHz三级程序存储器保密1288字节内部RAM32条可编程I/O线2个16位定时器/计数器6个中断源可编程串行通道片内时钟振荡器3.1.2 AT89C51的引脚及功能:AT89C51单片机的管脚说明如图3-2所示。图3-2 AT89C51的管脚(1) 主要电源引脚 VCC 电源端 GND 接地端(2) 外接晶体引脚XTAL1和XTAL2 XTAL1 接外部晶体的一个引脚。在单片机内部,它是构成片内振荡器的反相放大器的输入端。当采用外部振荡器时,该引脚接收振荡器的信号,既把此信号直接接到内部时钟发生器的输入端。 XTAL2 接外部晶体的另一个引脚。在单片机内部,它是上述振荡器的反相放大器的输出端。采用外部振荡器时,此引脚应悬浮不连接。(3) 控制或与其它电源复用引脚RST、ALE/PROG、/PSEN和/EA/VPP RST 复位输入端。 当振荡器运行时,在该引脚上出现两个机器周期的高电平将使单片机复位。 ALE/PROG 当访问外部存储器时,ALE(地址锁存允许)的输出用于锁存地址的低位字节。即使不访问外部存储器,ALE端仍以不变的频率(此频率为振荡器频率的1/6)周期性地出现正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。然而要注意的是:每当访问外部数据存储器时,将跳过一个ALE脉冲。在对Flash存储器编程期间,该引脚还用于输入编程脉冲(/PROG)。 /PSEN 程序存储允许(/PSEN)输出是外部程序存储器的读选通信号。当AT89C51由外部程序存储器取指令(或常数)时,每个机器周期两次/PSEN有效(既输出2个脉冲)。但在此期间内,每当访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA/VPP 外部访问允许端。要使CPU只访问外部程序存储器(地址为0000HFFFFH),则/EA端必须保持低电平(接到GND端)。当/EA端保持高电平(接VSS端)时,CPU则执行内部程序存储器中的程序。(4) 输入/输出引脚 P0.0 P0.7、P1.0P1.7、P2.0 P2.7 和P3.0P3.7 P0端口(P0.0 P0.7) P0是一个8位漏极开路型双向I/O端口。作为输出口用时,每位能以吸收电流的方式驱动8个TTL输入,对端口写1时,又可作高阻抗输入端用。P1端口(P1.0 P1.7) P1是一个带有内部上拉电阻的8位双向I/O端口。P1的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。作输入口时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。 P2端口 (P2.0P2.7) P2是一个带有内部上拉电阻的8位双向I/O端口。P2的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。P2作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。P3端口(P3.0P3.7) P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流,这是由于上拉的缘故。P3口也可作为AT89S52的一些特殊功能,这些特殊功能见表3-1。表3-1 P3端口的特殊功能 端口引脚 兼 用 功 能 P3.0RXD (串行输入口) P3.1TXD (串行输出口) P3.2/INT0 (外部中断0) P3.3/INT1 (外部中断1) P3.4T0 ( 定时器0的外部输入) P3.5T1 (定时器1的外部输入) P3.6/WR (外部数据存储器写选通) P3.7/RD (外部数据存储器读选通)3.2 DS1302时钟电路3.2.1 DS1302芯片介绍低功耗时钟芯片DS1302可以对年、月、日、时、分、秒进行计时,且具有闰年补偿等多种功能。DS1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录。这种记录对长时间的连续测控系统结果的分析以及对异常数据出现的原因的查找有重要意义。采用DS1302作为记录测控系统中的数据记录,其软硬件设计简单,时间记录准确,既避免了连续记录的大工作量,又避免了定时记录的盲目性,给连续长时间的测量、控制系统的正常运行及检查都来了很大的方便,可广泛应用于长时间连续的测控系统中。在测量控制系统中,特别是长时间无人职守的测控系统中,经常需要记录某些具有特殊意义的数据及其出现的时间。记录及分析这些特殊意义的数据,对测控系统的性能分析及正常运行具有重要的意义。传统的数据记录方式是隔时采样或定时采样,没有具体的时间记录,因此只能记录数据而无法准确记录其出现的时间;若采用单片机计时,一方面需要采用计数器,占用硬件资源,另一方面需要设置中断、查询等,同样耗费单片机的资源,而且某些测控系统可能不允许。而在系统中采用DS1302则能很好地解决这个问题。(1) DS1302的性能特性实时时钟,可对秒、分、时、日、周、月以及带闰年补偿的年进行计数;用于高速数据暂存的318位RAM;最少引脚的串行I/O;2.55.5V 电压工作范围;2.5V时耗电小于300nA;用于时钟或RAM数据读/写的单字节或多字节(脉冲方式)数据传送方式;简单的3线接口;可选的慢速充电(至VCC1)的能力。DS1302时钟芯片包括实时时钟/日历和31字节的静态RAM。它经过一个简单的串行接口与微处理器通信。实时时钟/日历提供秒、分、时、日、周、月和年等信息。对于小于31天的月和月末的日期自动进行调整,还包括闰年校正的功能。时钟的运行可以采用24h或带AM(上午)/PM(下午)的12h格式。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302有主电源/后备电源双电源引脚:VCC1 在单电源与电池供电的系统中提供低电源,并提供低功率的电池备份;VCC2在双电源系统中提供主电源,在这种运用方式中,VCC1 连接到备份电源,以便在没有主电源的情况下能保存时间信息以及数据。DS1302由VCC1或VCC2中较大者供电。当VCC2大于VCC1+0.2V时,VCC2给DS1302供电;当VCC2小于VCC1时,DS1302由VCC1供电。(2) DS1302数据操作原理DS1302在任何数据传送时必须先初始化,把RST脚置为高电平,然后把8位地址和命令字装入移位寄存器,数据在SCLK的上升沿被输入。无论是读周期还是写周期,开始8位指定40个寄存器中哪个被访问到。在开始8个时钟周期,把命令字节装入移位寄存器之后,另外的时钟周期在读操作时输出数据,在写操作时写入数据。时钟脉冲的个数在单字节方式下为8加8,在多字节方式下为8加字节数,最大可达248字节数。图3-3 DS1302管脚图如果在传送过程中置RST为低电平,则会终止本次数据传送,并且I/O引脚变为高阻态。上电运行时,在VCC =2.5V之前,RST脚必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。DS1302的管脚图如图3-3所示,内部结构图如图3-4所示,表3-2为各引脚的功能。图3-4 DS1302内部结构图表3-2 DS1302引脚功能表引脚号引脚名称功能1VCC2主电源2,3X1,X2振荡源,外接32768HZ晶振4GND地线5RST复位/片选线6I/O串行数据输入/输出端(双向)7SCLK串行数据输入端8VCC1后备电源DS1302的控制字如图3-5所示。控制字节的最高有效位(位7)必须是逻辑1;如果它为逻辑0,则不能把数据写入到DS1302中。位6如果为0,则表示存取日历时钟数据;为1表示存取RAM数据。位51(A4A0)指示操作单元的地址。最低有效位(位0)如为0,表示要进行写操作;为1表示进行读操作。控制字节总是从最低位开始输入/输出。7 6 5 4 3 2 1 01RAM CKA4A3A2A1A0RAM K图3-5 控制字节的含义为了提高对32个地址的寻址能力(地址/命令位15逻辑1),可以把时钟/日历或RAM寄存器规定为多字节(burst)方式。位6规定时钟或RAM,而位0规定读或写。在时钟/日历寄存器中的地址931或RAM寄存器中的地址31不能存储数据。在多字节方式中,读或写从地址0的位0开始。必须按数据传送的次序写最先的8个寄存器。但是,当以多字节方式写RAM时,为了传送数据不必写所有31字节。不管是否写了全部31字节,所写的每一字节都将传送至RAM。数据读写程序如图3-6所示。SCLKKRSTI/O571357210246046R/CA2A3A0A1R/WA41DATAI/OBYTEDATAI/OBYTE图3-6 数据读写程序DS1302共有12个寄存器,其中有7个寄存器与日历、时钟相关,存放的数据位为BCD码形式,其日历、时间寄存器及其控制字见表3-3,其中奇数为读操作,偶数为写操作。表2-3 DS1302的日历、时钟寄存器及其控制字寄存器名命令字取值范围各位内容写操作读操作76543210秒寄存器80H81H00-59CH10SECSEC分钟寄存器82H83H00-59010MINMIN小时寄存器84H85H01- 12或00-2312/24010APHRHR日期寄存器86H87H01-28,29,30,310010DATEDATE月份寄存器88H89H01-12000IOMMONTH周日寄存器8AH8BH01-0700000DAY年份寄存器8CH8DH00-9910YEARYEAR时钟暂停:秒寄存器的位7定义位时钟暂停位。当它为1时,DS1302停止振荡,进入低功耗的备份方式。通常在对DS1302进行写操作时(如进入时钟调整程序),停止振荡。当它为0时,时钟将开始启动。AM-PM/12-24小时方式:小时寄存器的位7定义为12或24小时方式选择位。它为高电平时,选择12小时方式。在此方式下,位5是AM/PM位,此位是高电平时表示PM,低电平表示AM,在24小时方式下,位5为第二个10小时位(2023h)。3.2.2 DS1302 的应用实时时钟芯片DS1302采用串行数据传输,可为掉电保护电源提供可编程的充电功能,也可以关闭充电功能,芯片采用32768Hz晶振。要特别说明的是,备用电源BT1可以用电池或超级电容(10万F以上)。虽然DS1302在主电源掉电后耗电很小,但如果要长时间保证时钟正常,最好选用小型充电电池。如果断电时间较短(几小时或几天),可以用漏电较小的普通电解电容代替(100F就可以保证1小时的正常走时)。DS1302在第一次加电后,需进行初始化操作。初始化后就可以按正常方法调整时间及闹铃。DS1302的时钟电路如图3-7所示。图3-7 DS1302时钟电路3.3 液晶显示电路3.3.1 LM041L的电路结构特点LM041L是使用HD44780作为列驱动器的液晶模块。下面主要介绍以下LM041L这个模块的逻辑电路图。LM041L共有三片IC和两片LCD,外加是四根管脚组成,如下图3-9所示。 图 3-9 LM041L的逻辑电路图在LM041L中,VDD接高电平,VSS和V0接地,使能端E,读写端R/W和复位端接单片机的端口,单片机能对其进行读写复位操作,方便简洁。然后,DB0DB7是LM041L的数据接收端,数据直接通过八根数据线传入显示屏,选用并列传送。3.3.2 LM041L的应用以下内容为以单片机8031为例机的接口电路,电路原理图如图3-10所示。控制电路为直接访问方式的接口电路。图3-10 8031与液晶的接口电路根据以上电路原理图中液晶的各引脚与单片机的接法,可得本设计的液晶模块电路如图3-11所示。VSS和VEE接地;数字电源VDD接5V;对比度控制电压V0接电位器,可通过调节电位器调整液晶亮度;数据、指令选择信号RS接单片机P2.0口;读写选择信号R/W接单片机P2.1口;单片机读、写选通信号/RD、/WR通过与非门接液晶的读写使能信号E接单片机P2.2口;DB0DB7分别接单片机的P0.0P0.7口; 图3-11 液晶模块电路3.4 键盘电路本设计共采用按键3个,分别与单片机的P3.0、P3.1、P3.2口相连,分别是模式设置,减少和增加的功能。在模式设置中,可调节按此按钮,配合减少、增加功能键分别对日期,时间和闹钟的进行设置。在设置过程中,显示器会对应显示调节的项目,分别是:“year、month、day、hour、minute、second、ahour、aminute、asecond”。其中,“ahour、aminute、asecond”分别是对闹钟的时分秒的设置。键盘电路与单片机的接法可参照附录1实时日历时钟设计电路图原理。3.5 闹铃电路随着闹铃时间的设定,当实时时间到达闹钟的时间时,P3.6会输出一组方波,如图3-12,当P3.6输出一个高电平时,使三极管导通,喇叭会发出蜂鸣声,当P3.6输出为低电平时,三极管不导通喇叭不发声。所以,整个闹钟输出为一组滴滴声。闹铃电路如图3-13所示。 图2-12 闹钟的输出波形 图3-12 闹钟的输出波形图3-13 闹铃电路4 系统程序的设计4.1 时间程序的设计因为使用了时钟芯片DS1302,时间程序只需从DS1302各寄存器中读出年、周、月、日、小时、分、秒等数据,再处理即可。在首次对DS1302进行操作之前,必须对它进行初始化,然后从DS1302中读出数据,再经过处理后,送给显示缓冲单元。时间程序流程图见图4-1所示。 开 始初始化单片机初始化DS13021302开始振荡从1302中读出年、周、月、日、小时、分、秒将BCD码高低位分离,送显示缓冲单元图4-1 时间程序流程图4.2 时间调整程序设计调整时间用三个调整按钮,分别定义为模式选择,减少,增加按钮。在调整时间过程中,首先要调节模式设置按钮,在显示屏上会显示对应的要调试的英文名称。例如,要调节月份,先调节模式设置按钮,直到屏幕上test行上显示:month,此时就可以调节减少和增加按钮,对其进行调试。时间调整程序流程图如图4-2所示。控制键有效,进入年调整程序等待按键程序加键有效年加1控制键有效,进入月调整程序控制键有效,进入日调整程序控制键有效,进入星期调整程序控制键有效,进入时调整程序控制键有效,进入分调整程序等待按键程序加键有效月加1等待按键程序加键有效日加1等待按键程序加键有效星期加1等待按键程序加键有效小时加1等待按键程序加键有效分加1控制键有效,跳出时间调整程序,进入主循环程序图4-2 时间调整程序时序图4.3 闹钟程序设计闹钟程序设计是融合在时间调试之中的,在调节模式设置按钮的时候,会发现显示屏上会出现“ahour、aminute、asecond”三个字样,这就是对闹钟调试的模式。例如当调节模式至“ahour”时,只需调节减少和增加按钮,就能调节闹钟的小时时间。闹钟的调试流程图为4-3所示。控制键有效,进入小时调整程序等待按键程序加键或减键有效有效小时加1或减1控制键有效,进入分调整程序控制键有效,进入秒调整程序等待按键程序加键或减键有效分加1或减1等待按键程序加键或减键有效秒加1或减1控制键有效,跳出时间调整程序,进入主循环程序图4-3 闹钟调整程序流程图5 测试结果设计完成后,给系统上电,液晶显示屏显示结果如图5-1所示。 Data: 10-05-22 Time: 23:59:50 Alarm: 00:00:00 Test: year 图5-1 液晶显示屏的显示结果按控模式设置钮,显示第四行Test后面会显示对应的模式,进入设定调整状态。此时按加按钮,当前数字就可改变。按一次,数字加1;若长按,则数字连续加。此时按减按钮,当前数字就可改变。按一次,数字减1;若长按,则数字连续减。调整完成后,可再次按下模式设置按钮,调整的内容会改变,第四行显示位也会对应显示要调整的内容。调整顺序依次为:年、月、日,时、分、秒,闹铃时、分、秒。对应的显示分别是year、month、day、hour、minute、second、ahour、,aminute、asecond。当全部参数调整完毕后,等待闹钟的时间到来,等到实时时间等于闹钟设定的时间是,系统会发出滴滴声,完成闹钟效果。 调试分为硬件调试和软件调试。硬件调试主要是检测硬件电路是否有短路、断路、虚焊等。DS1302的硬件电路很简单,只通过3根线与单片机相连,很容易检测,主要是检查其引脚,如晶振和电源等是否接好。另外可以通过软件来调试硬件,如为了测试显示电路连接是否正确,可以编写一个简单的显示程序来测试它。接下来可进行软件调试,可以编写只含DS1302的计时和读写程序、显示程序,测试DS1302是否正常工作。计时器最关键的是计时的精度。电子万年历中DS1302电路使用专用的晶振,经测试制作的电子万年历,一星期快了3s左右,误差较大,实验设计中可换用标准晶振或用软件进行修正。参考文献1 郭天祥. 新概念51单片机C语言教程M.北京:电子工业出版社,20092 吴金戌,沈庆阳8051单片机实践与应用,北京:清华大学出版社,2003.12,26383 何立民单片机应用文集,北京:北京航空航天大学出版社,1991,53724 李朝青.单片机原理及接口技术M.北京:北京航空航天大学出版社,20035 公茂法单片机人机接口实例集,北京:北京航空航天大学出版社,1998.2,32596 杨辉先.单片机原理及应用M.北京:人民邮电出版,20067 何立明单片机高级教程应用与设计,北京:北京航天航空大学出版社,2000.4,1491738 李广弟,朱月秀单片机基础,北京:北京航空航天大学出版社,2001.8,36859 楼然苗,李光飞MCS51系列单片机设计实例,北京:北京航天航空大学出版社,2001.3,758310 李华单片机原理与接口技术,北京:清华大学出版社,338011 戢卫平、胡耀辉. 单片机系统开发实例经典M.北京:冶金工业出版社,200612 王伟高性能、低功耗带RAM实时时钟芯片DS1302(上),电子世界:第一期,1995,263513 王伟高性能、低功耗带RAM实时时钟芯片DS1302(下),电子世界:第四期,1995,324114 刘光潭中外集成电路简明速察手册,上海:电子工业出版社,199115 一九九九年产品目录(第一期),武汉力源股份有限公司,199916 刘利液晶显示原理,上海:电子工业出版社,2002.5,527017 李宏,张家田液晶显示器件应用技术,北京:机械工业出版社,2004,15618318 沈庆阳汉字显示应用技术,上海:电子工业出版社,2002.3,12813919 杨国田,白焰,董玲. 51单片机实用C语言程序设计与典型实例M.北京:中国电力出版社,200920 MAXIMNEW RELEASES DATA BOOK (VOLUME V),AMERICA,199621 AT89C51 DATA SHEEP Philips Semiconductors 1999.dec22 Yang. Y., Yi. J., Woo, Y.Y., and Kim. B.: Optimum design for linearityand efficiency of microwave Doherty amplifier using a new loadmatching technique, Microw. J., 2001, 44, (12), pp. 2036附录1:实时日历电子钟设计电路原理图附录2:主程序/clock:基于DS1302的日历时钟#include /包含单片机寄存器的头文件#include /包含_nop_()函数定义的头文件/*以下是DS1302芯片的操作程序*/unsigned char code digit10=0123456789; /定义字符数组显示数字sbit DATA=P11; /位定义1302芯片的接口,数据输出端定义在P1.1引脚sbit RST=P12; /位定义1302芯片的接口,复位端口定义在P1.1引脚sbit SCLK=P10; /位定义1302芯片的接口,时钟输出端口定义在P1.1引脚sbit TIMEADDSET=P30; /位定义1302芯片的接口,加一调整定义在P3.2引脚sbit TIMEDECSET=P31; /位定义1302芯片的接口,减一调整定义在P3.2引脚sbit TIMEMODSET=P32; /位定义1302芯片的接口,模式调整定义在P3.2引脚sbit ALARM=P36; /位定义51单片机的接口,闹钟输出unsigned char TIMEMOD=1;/*日历结构体:包括年、月、日、小时、分、秒*/ typedef struct SYSTEMTIMEunsigned char Second;unsigned char Minute;unsigned char Hour;unsigned char Day;unsigned char Month;unsigned char Year; /unsigned char Week;Systemtime;/定义的时间类型 Systemtime Currenttime;/*日历结构体:包括闹钟的小时、分、秒*/ unsigned char alarmhour=0,alarmminute=0,alarmsecond=0; /分别储存秒、分、小时,日,月,年/*函数功能:延时若干微秒入口参数:n*/ void delaynus(unsigned char n) unsigned char i; for(i=0;in;i+) ;/*函数功能:向1302写一个字节数据入口参数:x*/ void Write1302(unsigned char dat) unsigned char i; SCLK=0; /拉低SCLK,为脉冲上升沿写入数据做好准备 delaynus(2); /稍微等待,使硬件做好准备 for(i=0;i=1; /将dat的各数据位右移1位,准备写入下一个数据位 /*函数功能:根据命令字,向1302写一个字节数据入口参数:Cmd,储存命令字;dat,储存待写的数据*/ void WriteSet1302(unsigned char Cmd,unsigned char dat) RST=0; /禁止数据传递 SCLK=0; /确保写数居前SCLK被拉低RST=1; /启动数据传输delaynus(2); /稍微等待,使硬件做好准备Write1302(Cmd); /写入命令字Write1302(dat); /写数据SCLK=1; /将时钟电平置于已知状态RST=0; /禁止数据传递 /*函数功能:从1302读一个字节数据入口参数:x*/ unsigned char Read1302(void) unsigned char i,dat;delaynus(2); /稍微等待,使硬件做好准备for(i=0;i=1; /将dat的各数据位右移1位,因为先读出的是字节的最低位if(DATA=1) /如果读出的数据是1 dat|=0x80; /将1取出,写在dat的最高位 SCLK=1; /将SCLK置于高电平,为下降沿读出 delaynus(2); /稍微等待 SCLK=0; /拉低SCLK,形成脉冲下降沿 delaynus(2); /稍微等待 return dat; /将读出的数据返回 /*函数功能:根据命令字,从1302读取一个字节数据入口参数:Cmd*/ unsigned char ReadSet1302(unsigned char Cmd) unsigned char dat; RST=0; /拉低RST SCLK=0; /确保写数居前SCLK被拉低 RST=1; /启动数据传输 Write1302(Cmd); /写入命令字 dat=Read1302(); /读出数据 SCLK=1; /将时钟电平置于已知状态 RST=0; /禁止数据传递 return dat; /将读出的数据返回/*函数功能: 1302进行初始化设置*/ void Init_DS1302(void)/Systemtime Currenttime;Currenttime.Second=55;Currenttime.Minute=58;Currenttime.Hour=23;Currenttime.Day=19;Currenttime.Month=2;Currenttime.Year=15;/Currenttime.Week =4; WriteSet1302(0x8E,0x00); /根据写状态寄存器命令字,写入不保护指令 WriteSet1302(0x80,(Currenttime.Second/10)4|(Currenttime.Second%10); /根据写秒寄存器命令字,写入秒的初始值WriteSet1302(0x82,(Currenttime.Minute/10)4|(Currenttime.Minute%10); /根据写分寄存器命令字,写入分的初始值WriteSet1302(0x84,(Currenttime.Hour/10)4|(Currenttime.Hour%10); /根据写小时寄存器命令字,写入小时的初始值WriteSet1302(0x86,(Currenttime.Day/10)4|(Currenttime.Day%10); /根据写日寄存器命令字,写入日的初始值WriteSet1302(0x88,(Currenttime.Month/10)4|(Currenttime.Month%10); /根据写月寄存器命令字,写入月的初始值WriteSet1302(0x8c,(Currenttime.Year/10)4|(Currenttime.Year%10); /根据写年寄存器命令字,写入年的初始值/WriteSet1302(0x8a,(Currenttime.Week/10)4|(Currenttime.Week%10); /根据写星期寄存器命令字,写入年的初始值/*以下是对液晶模块的操作程序*/sbit RS=P20; /寄存器选择位,将RS位定义为P2.0引脚sbit RW=P21; /读写选择位,将RW位定义为P2.1引脚sbit E=P22; /使能信号位,将E位定义为P2.2引脚sbit BF=P07; /忙碌标志位,将BF位定义为P0.7引脚/*函数功能:延时1ms(3j+2)*i=(333+2)10=1010(微秒),可以认为是1毫秒*/void delay1ms() unsigned char i,j; for(i=0;i10;i+) for(j=0;j33;j+) ; /*函数功能:延时若干毫秒入口参数:n*/ void delaynms(unsigned char n) unsigned char i;for(i=0;in;i+) delay1ms(); /*函数功能:判断液晶模块的忙碌状态返回值:result。result=1,忙碌;result=0,不忙*/bit BusyTest(void) bit result;RS=0; /根据规定,RS为低电平,RW为高电平时,可以读状态 RW=1; E=1; /E=1,才允许读写 _nop_(); /空操作 _nop_(); _nop_(); _nop_(); /空操作四个机器周期,给硬件反应时间 result=BF; /将忙碌标志电平赋给result E=0; /将E恢复低电平 return result; /*函数功能:将模式设置指令或显示地址写入液晶模块入口参数:dictate*/void WriteInstruction (unsigned char dictate) while(BusyTest()=1); /如果忙就等待 RS=0; /根据规定,RS和R/W同时为低电平时,可以写入指令 RW=0; E=0; /E置低电平(根据表8-6,写指令时,E为高脉冲, / 就是让E从0到1发生正跳变,所以应先置0 _nop_(); _nop_(); /空操作两个机器周期,给硬件反应时间 P0=dictate; /将数据送入P0口,即写入指令或地址 _nop_(); _nop_(); _nop_(); _nop_(); /空操作四个机器周期,给硬件反应时间 E=1; /E置高电平 _nop_

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论