基于单片机的光立方课程设计_第1页
基于单片机的光立方课程设计_第2页
基于单片机的光立方课程设计_第3页
基于单片机的光立方课程设计_第4页
基于单片机的光立方课程设计_第5页
已阅读5页,还剩103页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

精品文档 1欢迎下载 单片机课程设计报告单片机课程设计报告 题 目 基于单片机的 4 4 4 光立方设计 系 别 计 算 机 科 学 系 专 业 计算机科学与技术 班 级 学 号 姓 名 指导教师 日 期 精品文档 2欢迎下载 摘要摘要 当今社会 随着电子行业的不断发展 单片机的应用从根本上改变了传统的控制 系统设计思想和设计方法 已广泛的应用在工业自动化 通信 自动检测 信息家电 电 力电子航空航天等各个方面 成为现代生产和生活中不可缺少的一部分 我们学习单片机 也一个学期了 此次单片机课程设计 我采用的是 STC12C5A60S2 系列的单片机 设计一个 4 4 4 模式的 光立方 将 LED 灯分成 4 层 利用程序来编写各种不同的效果来控制 LED 的亮灭 最终使得整个立方体展现不同的变换 美轮美奂 绚丽多彩 关键词关键词 单片机课程设计光立方 1 1绪论绪论 1 11 1课题研究的背景课题研究的背景 光立方 一词正逐渐引起了全国人民的关注 并得到了全球的高度肯定 所谓 光 立方 就是在平面 LED 基础上发展起来的新的显示技术 通过复杂的程序控制 在三维 空间能够显示具有立体感的图形 动画等 由此 也掀起了光立方的设计与学习热潮 在 原有的基础上不断增加难度 增加变换花样 吸引电子爱好者对其研究 创新 光立方是由 LED 灯组成 由于发光二级管质量比较稳定 使用寿命长 不用频繁地去 精品文档 3欢迎下载 更换 能减少成本 更节能 光立方作为一种特殊的显示资源 已用于一些广告行业 家 庭 娱乐厅 会议室 大型演唱会 城市地标广场等场所的布置和装饰 还可以用于更广 泛方面 具有广阔的应用前景 1 21 2课题研究的意义课题研究的意义 本次毕业设计一改传统的平面流水灯的风格 而是从平面向立体发展 通过更宽广的 三维空间呈现出更加绚丽的效果 直接冲击着人们审美视觉 不在停留在乏味的平面成像 光立方主体部分由64个 LED 灯组成 在制作过程中锻炼学习动手焊接能力 并以低成本 智能化产品对实现经济利益 商业价值的形成具有积极的推动作用 光立方的研究极具创 意和启发 而且可以低成本 高效益的研究未来的3D 技术 2 2总体设计总体设计 2 12 1设计目的设计目的 1 进一步掌握单片机的原理及其功能 学会利用单片机做一些课外电子设 计与制作 2 熟悉 LED 点亮条件及其工作原理 3 熟悉光立方显示的原理及其相关的线路连接 4 通过此次的电路焊接和调试提高自己的动手及其分析问题的能力 2 22 2工作原理工作原理 光立方其功能相当于三维显示器 只不过光立方是由 LED 灯组成的三维的立方体 光 立方显示的原理简单的说就是用单片机控制 64 个 LED 灯 在不同时刻点亮要显示图案位置 所在的灯 利用人眼余辉效应 可以看到比较完整的显示图案或动画了 其原理如下 光立方从下到上共 4 层 每一层 16 个 LED 的负极接到一起 第一层 16 个蓝色正极和 16 个 红色正极分别单独引出 第二层的每个正极分别接到它对应第一层正极 第三层的正极接 第二层的正极 就是竖着看是 8 个接到一个正极上 连在一起的是阴极 这样焊接起来 光立方引出 4 层引出线加上 16 个正极引出线 精品文档 4欢迎下载 3 3系统硬件电路设计与实现系统硬件电路设计与实现 3 13 1元件清单元件清单 PCB 板 1 红蓝双色超高亮长脚 LED 70 40P 圆排母 4 5MMLED 间隔柱 5 5MM 七彩 LED 5 15MM 铜柱 5 DC005 线 1 DC005 座 1 25V470UF 电解电容 1 1K 电阻 4 40P 芯片底座 4 22P 瓷片电容 1 STC12C5A60S2 芯片 1 11 0592M 晶振 1 单芯导线 1 3 23 2硬件电路设计硬件电路设计 本电路是由单片机 STC12C5A60S2为控制核心 具有大容量程序存储器且是 FLASH 工艺 的 具有串口烧写编程功能 低功耗 时钟源电路有很多种 比如阻容低速时钟源 普通 晶体时钟源 带缓冲放大的晶体时钟源等等 考虑到电路稳定及材料选购等方面 决定采 用普通晶体时钟源 其中晶体用11 0592M 的石英晶振 显示部份由显示部份由64个 LED 灯 组成来进行显示 3 33 3单片机单片机最小系统最小系统 单片机的最小系统就是让单片机能正常工作并发挥其功能时所必须的组成部分 也可 理解为是用最少的元件组成的单片机可以工作的系统 对89C51单片机来说 最小系统一 精品文档 5欢迎下载 般应该包括 单片机 时钟电路 复位电路 输入 输出设备等 单片机的最小系统如下图所示 3 33 3电路图设计电路图设计 通过电路图设计 方便搭建起光立方的架构 1 电源设计电路图 2 时钟电路图 精品文档 6欢迎下载 3 整体电路图 3 43 4光立方搭建方法光立方搭建方法 3 4 13 4 1将将 LEDLED 从点到线的搭建从点到线的搭建 首先接线下灯座的制作方法 把40P 圆排母用水口钳或者斜口钳剪成单针如下图所示 精品文档 7欢迎下载 把其余元器件焊接好后图 3 3 4 4 2 2 将将 L LE ED D 从从线线到到面面的的搭搭建建 精品文档 8欢迎下载 进行八次上诉焊接后接下来就是将4束的 LED 焊接起来 在从点到线时是将所有 LED 的 阴极连在一起 而这次则是将所有 LED 阳极弯折并依次连接 如图所示 注意 由于焊接 过程中将产生静电容易将 LED 烧坏 因此本项工作完成后请认真检查每个灯的好坏 可以 用万用笔等工具检测 同时检测灯与灯之间有没虚焊情况 3 3 4 4 3 3 将将 L LE ED D 从从面面到到体体的的搭搭建建 最后一个步骤是将已焊好的 4 个面进行焊接 其方法是将之前 8 个面上的阴极对应位 置依次焊接 最后留出的 4 个阴极与输出端进行连接 实物图如下图所示 精品文档 9欢迎下载 4 4 系系统统软软件件设设计计 4 14 1主程序设计主程序设计 程序主函数运行流程框图如下图所示 精品文档 10欢迎下载 4 24 2软件测试软件测试 光立方主要是通过程序来控制光立方体从而达到多种动画变化的效果 由于要形成多 样的 3D 动画 在变成过程中也出现了不少的问题 最后经过多次的细心修改 顺利的完成 了编程工作 4 34 3结果分析结果分析 本设计通过硬件设备和软件完美配合 总共呈现十一个连续的动画效果 经过多次的 反复测试与分析 所呈现的动画与程序中预期的效果吻合 符合设计要求 在软硬件测试过 程中让我们对原先设计的电路的原理及功能进一步熟悉 并对各电路模块和相关的芯片联合 工作更加了解 在这个调试的过程中虽然挺枯燥无味 但锻炼我们发现问题并解决问题的 能力 对我们的专业知识起到了温故知新的作用 精品文档 11欢迎下载 5 5课程设计心得体会课程设计心得体会 此次为期两个礼拜的课程设计 让我感受颇深 最终看到了绚丽多姿 变化多端的 LED 光立方的图案 在中 在很大程度上培养了自己的独立思考及其动手能力 学会了自 己独立的发现问题 分析问题 老师在实验室指导我们的设计 在查阅资料仍找不到但答 案的还可以请教老师 尽管此次设计过程中遇到些问题 但最终还是一步一个脚印过来了 此次设计在丁老师的指导下完成的 老师扎实的专业知识 让我觉得自己还有好多的知识 需要去学习 在这大学的时间 要好好的提升自己的相关技能 程序代码程序代码 include include define uint unsigned int define uchar unsigned char define MAX Delay 8 define MIN Delay 1 sbit S 1 P1 1 sbit S 2 P1 2 unsigned int pwm unsigned char TimeDelay 10 精品文档 12欢迎下载 unsigned char Mode 1 unsigned char code tabP2 0 xFE 0 xFD 0 xFB 0 xF7 0 xEF 0 xDF 0 xBF 0 x7F 扫描 uchar s 0 void DELAY MS uchar i unsigned int i while a 0 for i 0 i 600 i uchar x j for j 0 j i j for x 0 x 148 x void Adc Delay uint a uint i while a 0 for i 0 i 0 x 精品文档 13欢迎下载 for y z y 0 y void timer1 init TMOD 0 x10 TH1 0 TL1 0 ET1 1 TR1 1 EA 1 AD 转换函数 unsigned char Read unsigned char CHA unsigned char AD FIN 0 存储 A D 转换标志 CHA 选择 ADC 的 8 个接口中的一个 0000 0111 清 0 高 5 位 ADC CONTR 0 x00 ADC 转换的速度 0XX0 0000 其中 XX 控制速度 请根据 数据手册设置 nop 精品文档 14欢迎下载 ADC CONTR CHA 选择 A D 当前通道 nop ADC CONTR 0 x80 启动 A D 电源 Adc Delay 1 使输入电压达到稳定 1ms 即可 ADC CONTR 0 x08 启动 A D 转换 0000 1000 令 ADCS 1 nop nop nop nop while AD FIN 0 等待 A D 转换结束 AD FIN ADC CONTR 0001 0000 测试 A D 转换结束否 ADC CONTR 1111 0111 清 ADC FLAG 位 关闭 A D 转换 return ADC RES 返回 A D 转换结果 8 位 AD 电容式触摸按键检测程序 bit 1 表示有感应物 0 表示没有感应物 S1 精品文档 15欢迎下载 bit S1 void unsigned char R mm 0 i j k bit aa j 0 k 0 for i 0 i 10 i 一次采集数据的数量 Adc Delay 3 R Read 1 读对应的口 触发对应的触摸按键 if R1 else 精品文档 16欢迎下载 mm 0 if mm 0 aa 1 else aa 0 return aa S2 bit S2 void unsigned char R mm 0 i j k bit aa j 0 k 0 for i 0 i 10 i 一次采集数据的数量 精品文档 17欢迎下载 Adc Delay 3 R Read 2 if R1 else mm 0 if mm 0 aa 1 精品文档 18欢迎下载 else aa 0 return aa 结束呼吸灯专用 uchar code table 0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 1 30 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 精品文档 19欢迎下载 void PwmUp uint a uchar c uchar i if c 1 P3 0X00 else P0 0X00 P2 0X00 i table a Delay i if c 1 P3 0XFF else P0 0XFF P2 0X00 Delay 150 i void PwmDown uint a uchar c uchar i if c 1 P3 0XFF else P0 0XFF 精品文档 20欢迎下载 P2 0X00 i table a Delay i if c 1 P3 0X00 else P0 0X00 P2 0X00 Delay 150 i void PwmAllon uchar c int i for i 0 i 150 i PwmDown i c if c 1 P3 0X00 else P0 0X00 P2 0X00 精品文档 21欢迎下载 void PwmOff uchar c int i P3 0XFF P2 0X00 Delay 100 for i 0 i 150 i PwmUp i c P3 0X00 void Allfalloff uchar c uchar time 由全亮到下落关闭只剩一排 unsigned char code tabP0 5 8 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 x00 0 xFF 0 xFF 0 xFF 0 x00 0 xFF 0 xFF 0 xFF 0 x00 0 x00 0 xFF 0 xFF 0 x00 0 x00 0 xFF 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 精品文档 22欢迎下载 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 5 j for k 0 k 15 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time 精品文档 23欢迎下载 void Randomlight uchar c uchar time 随机亮完 unsigned char code tabP0 38 8 0 x00 0 x20 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x20 0 x00 0 x00 0 x00 0 x00 0 x10 0 x00 0 x00 0 x20 0 x00 0 x00 0 x00 0 x02 0 x10 0 x00 0 x00 0 x20 0 x04 0 x00 0 x00 0 x02 0 x10 0 x00 0 x00 0 xA0 0 x04 0 x00 0 x00 0 x02 0 x10 0 x00 0 x00 0 xA0 0 x24 0 x10 0 x00 0 x02 0 x10 0 x00 0 x40 0 xA0 0 x24 0 x10 0 x00 0 x02 0 x10 0 x00 0 x40 0 xA0 0 x24 0 x10 0 x20 0 x02 0 x10 0 x00 0 x40 0 xA0 0 x24 0 x10 0 x20 0 x22 0 x10 0 x00 0 x40 0 xA0 0 x24 0 x10 0 x20 0 x22 0 x14 0 x42 0 x40 0 xA0 0 x24 0 x10 0 x20 0 x26 0 x14 0 x42 0 x40 0 xA0 0 x25 0 x10 0 x20 0 x26 0 x14 0 x42 0 x44 0 xA0 0 x25 0 x10 0 x20 0 x26 0 x14 0 x42 0 x44 0 xA0 0 x25 0 x50 0 x20 0 x26 0 x14 0 x42 0 x44 0 xA0 0 x25 0 x50 0 xA0 0 x26 0 x14 0 x42 0 x44 0 xA0 0 x25 0 x50 0 xA0 0 x27 0 x14 0 x43 精品文档 24欢迎下载 0 x44 0 xA0 0 x25 0 x50 0 xA0 0 x27 0 x14 0 x53 0 x44 0 xA0 0 x65 0 x50 0 xA0 0 x27 0 x54 0 x53 0 x44 0 xA0 0 x65 0 x50 0 xA0 0 xA7 0 x54 0 x53 0 x44 0 xA0 0 x65 0 x50 0 xA8 0 xA7 0 x54 0 x53 0 x44 0 xA0 0 x65 0 x50 0 xA8 0 xA7 0 x56 0 x5B 0 x46 0 xA0 0 x67 0 x52 0 xA8 0 xA7 0 x56 0 x5B 0 x46 0 xA1 0 x67 0 x52 0 xA8 0 xA7 0 x56 0 x5B 0 x46 0 xB1 0 x67 0 x52 0 xA8 0 xA7 0 x56 0 x5B 0 x46 0 xB1 0 x67 0 x5A 0 xA8 0 xA7 0 x56 0 x5B 0 x56 0 xB1 0 x67 0 x5A 0 xA8 0 xA7 0 x56 0 x5B 0 x56 0 xB1 0 x67 0 x5A 0 xA8 0 xE7 0 x56 0 x5B 0 x56 0 xB1 0 x67 0 x5A 0 xAA 0 xE7 0 x56 0 x5F 0 x56 0 xB1 0 x67 0 x5A 0 xAA 0 xE7 0 x56 0 x7F 0 x56 0 xF9 0 x67 0 x7A 0 xAA 0 xE7 0 x56 0 x7F 0 x56 0 xF9 0 x67 0 x7A 0 xAE 0 xE7 0 x5E 0 x7F 0 x5E 0 xFD 0 x67 0 x7B 0 xAE 0 xE7 0 x5E 0 x7F 0 x5E 0 xFD 0 x67 0 x7B 0 xEE 0 xE7 0 xDE 0 xFF 0 xDE 0 xFD 0 xE7 0 xFB 0 xEF 0 xE7 0 xFF 0 xFF 0 xDE 0 xFD 0 xF7 0 xFB 0 xFF 0 xF7 0 xFF 0 xFF 0 xDE 0 xFD 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF int j k i if c 1 P3 0X00 精品文档 25欢迎下载 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 38 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P3 tabP0 j i P0 tabP0 j i 精品文档 26欢迎下载 DELAY MS time void Randomoff uchar c uchar time 随机灭完 unsigned char code tabP0 23 8 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xDF 0 xFF 0 xFF 0 xFF 0 xFF 0 xDF 0 xFF 0 xFF 0 xDF 0 xFF 0 xFF 0 xFF 0 xED 0 xDF 0 xFF 0 xF7 0 xDD 0 xFF 0 xFF 0 xFF 0 xED 0 xDF 0 xFF 0 xF7 0 xDD 0 xEF 0 xFF 0 xDF 0 xED 0 xDF 0 xFF 0 xF7 0 x5D 0 xAF 0 xFD 0 xDF 0 xED 0 xDF 0 xFF 0 xF7 0 x5C 0 xAF 0 xDD 0 xDF 0 xED 0 xDF 0 xFF 0 xE7 0 x5C 0 xAF 0 xDD 0 xDF 0 x6D 0 xDD 0 xFF 0 xE7 0 x5C 0 x2F 0 xDD 0 xD7 0 x6D 0 x9D 0 xFF 0 xE5 0 x5C 0 x2F 0 xDD 0 xD7 0 x69 0 x9D 0 xFF 0 xA5 0 x5C 0 x2B 0 xDD 0 x57 0 x69 0 x9D 0 xFF 0 xA5 0 x5C 0 x29 0 xDD 0 x57 0 x69 0 x8D 0 xBD 0 x85 0 x5C 0 x29 0 xDD 0 x57 0 x69 0 x8D 0 xBD 0 x85 0 x54 0 x29 0 xDD 0 x53 0 x48 0 x8D 0 x9D 0 x85 0 x54 0 x29 0 xDD 0 x53 0 x08 0 x8D 精品文档 27欢迎下载 0 x9D 0 x81 0 x54 0 x09 0 xDD 0 x13 0 x08 0 x8D 0 x95 0 x81 0 x54 0 x09 0 xDD 0 x12 0 x08 0 x85 0 x95 0 x01 0 x54 0 x09 0 xC9 0 x12 0 x08 0 x85 0 x95 0 x01 0 x54 0 x01 0 x89 0 x12 0 x08 0 x84 0 x95 0 x01 0 x40 0 x01 0 x89 0 x02 0 x08 0 x80 0 x95 0 x00 0 x40 0 x01 0 x88 0 x02 0 x08 0 x00 0 x94 0 x00 0 x00 0 x00 0 x88 0 x00 0 x08 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 23 j for k 0 k 10 k for i 0 i 8 i 精品文档 28欢迎下载 P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Righttoleft uchar c uchar time 右面平移到左面 unsigned char code tabP0 4 8 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x0F 0 x0F 0 x0F 0 x00 0 x00 0 x00 0 x00 0 xF0 0 xF0 0 xF0 0 xF0 0 xF0 0 xF0 0 xF0 0 xF0 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x0F 0 x0F 0 x0F 0 x00 0 x00 0 x00 0 x00 int j k i 精品文档 29欢迎下载 if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 4 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i P3 tabP0 j i 精品文档 30欢迎下载 DELAY MS time void Lefttoright uchar c uchar time 左面平移到右面 unsigned char code tabP0 4 8 0 x0F 0 x0F 0 x0F 0 x0F 0 x00 0 x00 0 x00 0 x00 0 xF0 0 xF0 0 xF0 0 xF0 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 xF0 0 xF0 0 xF0 0 xF0 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x0F 0 x0F 0 x0F int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF 精品文档 31欢迎下载 for j 0 j 4 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void turnD uchar c uchar time 从右面到下面 unsigned char code tabP0 6 8 0 x00 0 x00 0 x00 0 x00 0 xF0 0 x0F 0 x0F 0 x0F 精品文档 32欢迎下载 0 xF0 0 x00 0 x00 0 x00 0 x00 0 xF0 0 x0F 0 x0F 0 x0F 0 xF0 0 x00 0 x00 0 x00 0 x00 0 xF0 0 x0F 0 x00 0 x0F 0 xF0 0 x00 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x0F 0 xF0 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 6 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 精品文档 33欢迎下载 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Toptofollowing uchar c uchar time 上面平移到下面 unsigned char code tabP0 4 8 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF int j k i if c 1 P3 0X00 else if c 2 精品文档 34欢迎下载 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 4 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time 精品文档 35欢迎下载 void Followingtotop uchar c uchar time 下面平移到上面 unsigned char code tabP0 4 8 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 4 j for k 0 k 10 k for i 0 i 8 i 精品文档 36欢迎下载 P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Alllight uchar c uchar time 右到左全亮 unsigned char code tabP0 4 8 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x0F 0 x0F 0 x0F 0 x00 0 x00 0 x00 0 x00 0 xFF 0 xFF 0 xFF 0 xFF 0 xF0 0 xF0 0 xF0 0 xF0 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF 0 xFF int j k i 精品文档 37欢迎下载 if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 4 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time 精品文档 38欢迎下载 void Evel uchar c uchar time 斜面亮 unsigned char code tabP0 7 8 0 x00 0 x00 0 x00 0 xFE 0 x00 0 x00 0 x00 0 xF7 0 x00 0 x00 0 x00 0 xEC 0 x00 0 x00 0 x00 0 x73 0 x00 0 x00 0 x00 0 x4C 0 x00 0 x00 0 x00 0 x23 0 x00 0 x00 0 x00 0 x48 0 x00 0 x00 0 x00 0 x21 0 x00 0 x00 0 x48 0 x48 0 x00 0 x00 0 x21 0 x21 0 x00 0 x48 0 x48 0 x48 0 x00 0 x21 0 x21 0 x21 0 x48 0 x48 0 x48 0 x48 0 x21 0 x21 0 x21 0 x21 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF 精品文档 39欢迎下载 for j 0 j 7 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Followtotop uchar c uchar time 上面转移到后面 可以接旋转 unsigned char code tabP0 10 8 精品文档 40欢迎下载 0 xFF 0 x00 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xEE 0 x11 0 x00 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xEC 0 x12 0 x01 0 x00 0 xFF 0 x00 0 x00 0 x00 0 xC8 0 x24 0 x12 0 x01 0 xEF 0 x10 0 x00 0 x00 0 x88 0 x48 0 x24 0 x12 0 xCE 0 x21 0 x10 0 x00 0 x88 0 x88 0 x48 0 x24 0 x8C 0 x42 0 x21 0 x10 0 x88 0 x88 0 x88 0 x48 0 x88 0 x84 0 x42 0 x21 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 0 x84 0 x42 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 0 x84 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 0 x88 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 15 j for k 0 k 10 k 精品文档 41欢迎下载 for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Spin uchar c uchar time 旋转 unsigned char code tabP0 8 8 0 x48 0 x48 0 x48 0 x48 0 x21 0 x21 0 x21 0 x21 0 x44 0 x44 0 x44 0 x44 0 x22 0 x22 0 x22 0 x22 0 x22 0 x22 0 x22 0 x22 0 x44 0 x44 0 x44 0 x44 精品文档 42欢迎下载 0 x21 0 x21 0 x21 0 x21 0 x48 0 x48 0 x48 0 x48 0 x31 0 x31 0 x31 0 x31 0 xC8 0 xC8 0 xC8 0 xC8 0 x30 0 x30 0 x30 0 x30 0 xC0 0 xC0 0 xC0 0 xC0 0 xC0 0 xC0 0 xC0 0 xC0 0 x30 0 x30 0 x30 0 x30 0 xC8 0 xC8 0 xC8 0 xC8 0 x31 0 x31 0 x31 0 x31 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 8 j for k 0 k 8 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 精品文档 43欢迎下载 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time void Thenthelast uchar c uchar time 接旋转下来到第一排 unsigned char code tabP0 3 8 0 x00 0 x48 0 x48 0 x48 0 x00 0 x21 0 x21 0 x21 0 x00 0 x00 0 x48 0 x48 0 x00 0 x00 0 x21 0 x21 0 x00 0 x00 0 x00 0 x48 0 x00 0 x00 0 x00 0 x21 int j k i if c 1 P3 0X00 else if c 2 P0 0X00 精品文档 44欢迎下载 else P3 0XFF P0 0XFF for j 0 j 3 j for k 0 k 10 k for i 0 i 8 i P0 0 if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time 精品文档 45欢迎下载 void Thelast uchar c uchar time 接下来一排斜的 后 4 点转到左上 1 unsigned char code tabP0 43 8 0 x00 0 x00 0 x00 0 x48 0 x00 0 x00 0 x00 0 x21 0 x00 0 x00 0 x00 0 x40 0 x00 0 x00 0 x00 0 x23 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x27 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x8E 0 x00 0 x00 0 x00 0 x80 0 x00 0 x00 0 x00 0 x8C 0 x00 0 x00 0 x00 0 x88 0 x00 0 x00 0 x00 0 x88 0 x00 0 x00 0 x00 0 x8C 0 x00 0 x00 0 x00 0 x80 0 x00 0 x00 0 x00 0 x8E 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x17 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x13 0 x00 0 x00 0 x00 0 x10 0 x00 0 x00 0 x00 0 x11 0 x00 0 x00 0 x00 0 x30 0 x00 0 x00 0 x00 0 x10 0 x00 0 x00 0 x00 0 x70 0 x00 0 x00 0 x00 0 x40 0 x00 0 x00 0 x00 0 x70 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x20 0 x40 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x40 0 x20 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x20 0 x40 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x60 0 x00 0 x00 精品文档 46欢迎下载 0 x00 0 x40 0 x20 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x20 0 x40 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x40 0 x20 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x60 0 x00 0 x00 0 x00 0 x70 0 x00 0 x00 0 x00 0 x40 0 x00 0 x00 0 x00 0 x70 0 x00 0 x00 0 x00 0 x10 0 x00 0 x00 0 x00 0 x30 0 x00 0 x00 0 x00 0 x11 0 x00 0 x00 0 x00 0 x10 0 x00 0 x00 0 x00 0 x13 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x17 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x8E 0 x00 0 x00 0 x00 0 x80 0 x00 0 x00 0 x00 0 x8C 0 x00 0 x00 0 x00 0 x88 0 x00 0 x00 0 x00 0 x88 0 x00 0 x00 0 x00 0 x8C 0 x00 0 x00 0 x00 0 x80 0 x00 0 x00 0 x00 0 x8E 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x07 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x03 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x01 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 int j k i if c 1 精品文档 47欢迎下载 P3 0X00 else if c 2 P0 0X00 else P3 0XFF P0 0XFF for j 0 j 43 j for k 0 k 10 k for i 0 i 8 i P0 0 P2 tabP2 i if c 1 P0 tabP0 j i else if c 2 P3 tabP0 j i else P0 tabP0 j i DELAY MS time 精品文档 48欢迎下载 void Twoidea uchar c uchar time 左上 1 开始 三个走 最后 底层亮完 unsigned char code tabP0 19 8 0 x01 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x01 0 x01 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x01 0 x01 0 x01 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x01 0 x01 0 x01 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x01 0 x03 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x07 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x0F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x8F 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 x00 0 xCF 0 x00 0 x00 0

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论