实验2LED译码显示实验.doc_第1页
实验2LED译码显示实验.doc_第2页
实验2LED译码显示实验.doc_第3页
实验2LED译码显示实验.doc_第4页
实验2LED译码显示实验.doc_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验二、LED译码显示实验一实验目的:1、掌握QuartusII等EDA工具软件的基本使用; 2、学习FPGA自顶向下的设计方法;3、学习用FPGA控制LED电路实现显示器设计。二实验内容:1. 四位二进制计数器的设计2. 7段显示译码器3. 驱动七段发光二极管的二-十进制译码器的层次化设计,如图1.1。图1.1 7段数码显示译码器的原理示意图三7段数码显示译码器基本原理在数字电路中,常常需要把运算结果用十进制数显示出来,这就要用显示译码器。7段显示译码器实际上是一种代码变换器,它是将4位二进制码转换成7位代码,燃亮LED显示器a、b、c、d、e、f、g七段中的对应段,显示出十进制数的字型。表2.1 7段显示译码器真值表(以共阴极数码管为例)在EDA实验箱中验证这个项目,可用数据开关表示输入,用LED数码管表示输出。四实验步骤:1、 创建工程文件先打开“我的电脑”,在E盘新建名为“fpga_lab1”文件夹。然后,利用QuartusII软件创建工程向导(New Project Wizard)创建一个新的工程。2、 子模块电路设计(包括各个模块的功能仿真)1) LED显示译码器设计程序编写:在“文件”菜单下选择“New”,在弹出的窗口点击“VHDL File”点击“OK”打开vhdl编辑窗口。编辑输入LED显示译码器程序,编辑完毕后保存,文件名保存为“decorder4_7”(注:文件名必须与程序中实体名一致)选中“Add file to current project”选项,添加当前文件到项目。-文件名为decorder4_7.vhd-功能:把4位二进制数变为七段LED显示码library ieee;use ieee.std_logic_1164.all;entity decorder4_7 isport (A :IN STD_LOGIC_vector(3 downto 0);Y :OUT STD_LOGIC_vector(6 downto 0);end decorder4_7;architecture behave of decorder4_7 isbegin process(A)begincase A iswhen 0000 = Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y=XXXXXXX; -注意X要大写end case;end process;end behave;文件编译:保存文件后,选择“Project”菜单,点击“Set as Top-Level Entity”项,把当前文件设置为顶层实体。(注:Quartus环境下所有操作(综合、编译、仿真、下载等)都只对顶层实体进行,所以编译任何程序前,必须先设置该选项,把当前要编译的文件设置为顶层实体后,才能对该文件进行编译等操作)打开“Processing”菜单,点击“Start Compilation”执行完全编译状态窗口显示编译过程进度信息编译结束,系统会弹出编译结束窗口,报告错误与警告数,点击“确定”。编译报告给出所有编译结果信息,包括硬件信息、资源占用率等。错误修改: 如果程序中有错误,需要根据“Messages”消息栏给出的错误提示修改程序,保存后须再次编译,直至所有错误均改正后,方可执行下一步操作。警告信息可以忽略。波形仿真:1 建立波形图文件关闭编译报告窗口,在“文件”菜单下选择“New”,在弹出的窗口点击“Vector Waveform File”点击“OK” 打开波形编辑窗口。波形图编辑窗口2 定义仿真观测的输入输出节点在波形编辑窗口左侧栏内单击鼠标右键,出现浮动菜单,选择“Insert Note or Bus”出现“Insert Note or Bus”对话框,点击“Node Finder”按键,出现“Node Finder”对话框,如下图所示。在图中“Filter:”选项下选择管脚类型为“Pins:all”,然后单击List按钮,可在左下侧区域看到设计项目中的输入输出信号,单击按钮“= ”,将这些信号选择到“Selected Nodes”区,表示对这些信号进行观测,单击OK此时的波形编辑窗口如下图所示。保存波形文件,文件名为led_display.vwf(注:扩展名默认不填,文件名与项目名同名)3 为输入信号赋值波形编辑器窗口左侧为信号赋值工具条,根据实际要求点选工具按钮对输入信号赋值。1)为输入信号A赋值:单击A,使其呈蓝色即选中状态,单击为累加信号赋值工具按钮,弹出Clock对话框,在Radix框中选择数值类型为Hexadecimal(十六进制),其它值按默认即可,点击OK。2)设置仿真时间选择Edit菜单下的End Time选项,打开End Time对话框,在time框内入输100单位为us。再次保存波形文件,窗口如下时序仿真选择Processing菜单下的start Simulation选项,即开始波形仿真。状态窗口会显示出仿真进程,仿真结束后可以看到仿真结果波形,如下图所示。观察波形可用工具条上的放大缩小按钮放大缩小波形图。结果分析:图上可以观察到输出端Y有相应的波形输出,输入信号A与输出信号Y存在对应关系,可以用来检验程序正确性。生成符号文件:通过波形仿真可以确定程序功能是否正确后,就可以把该程序生成符号文件,以便在后面的程序中调用。具体操作是:打开File文件菜单,选择Creat/Updata菜单项,右侧弹出子菜单再选择Creat Symbol files for Current file把当前文件创建成符号文件。状态窗口有进度信息显示。生成的符号文件可以在新建原理图窗口调入(file-原理图),进行层次化设计。下图为在打开的原理图界面下双击鼠标左键,即打开器件库窗口(Symbol),自己生成的模块在Project目录下。2) 4位二进制加法计数器子模块输入文件、编译、仿真、创建符号文件的过程和LED显示译码器模块相同,其VHDL程序如下:4位二进制加法计数器的VHDL描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; ENTITY cnt4 IS PORT(CLK: IN STD_LOGIC; Q : buffer STD_LOGIC_VECTOR(3DOWNTO 0); END cnt4;ARCHITECTURE bhv OF cnt4 ISBEGIN PROCESS(CLK) BEG

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论