实验三-8线3线优先编码器_第1页
实验三-8线3线优先编码器_第2页
实验三-8线3线优先编码器_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

姓名学号实验日期成绩XXXXXXXXXX 年 月 日实验三 基本组合逻辑电路的PLD实现(2)l 实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器l 实验目的: 1. 熟悉用可编程器件实现基本组合逻辑电路的方法。2. 进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入法,进一步熟悉如何编译,器件选择,管脚分配和仿真。l 预习要求:1. 回顾数字电路中关于优先编码器的相关知识。l 实验说明:1. 用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语言。2. 优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优先等级对同时输入的多路信号中优先级最高的一路进行编码。3. 8线-3线优先编码器的真值表如下图所示:l 实验内容与步骤:1. 新建一个属于自己的工程目录。2. 新建一张电路图文档,调用8线-3线优先编码器芯片74148(注意其均是低电平有效),完成设计。3. 对电路图进行编译,仿真。4. 用VerilogHDL语言方式编写一个8线-3线优先编码器。5. 完成编译,管脚分配,并对模块进行仿真。l 实验报告要求:1. 将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。2. 将代码关键位置写上相应注释(可用中文)。3. 对仿真波形截图,贴到实验报告中。l 实验图表与数据:1. 8线-3线优先编码器电路图:2. 8线-3线优先编码器电路仿真波形:3 .8线-3线优先编码器

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论