数字化PWM可逆直流调速系统的设计总体设计_第1页
数字化PWM可逆直流调速系统的设计总体设计_第2页
数字化PWM可逆直流调速系统的设计总体设计_第3页
数字化PWM可逆直流调速系统的设计总体设计_第4页
数字化PWM可逆直流调速系统的设计总体设计_第5页
已阅读5页,还剩52页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘 要直流电机具有良好的启动性能和调速特性,它的特点是启动转矩大,能在宽广的范围内平滑、经济地调速,转速控制容易,调速后效率很高。本文设计的直流电机调速系统,主要由51单片机、电源、H桥驱动电路、LCD液晶显示器、光电编码器测速电路、霍尔电流传感器以及拨码开关组成的数字化PWM控制直流电机调速系统。电源采用78系列和79系列芯片实现+5V、+15V、-15V对电机的调速采用PWM波方式,PWM是脉冲宽度调制,通过51单片机改变占空比实现。通过独立按键实现对电机的启停、调速、转向的人工控制,LCD实现对测量数据(速度、电流)的显示。电机转速利用光电编码器检测输出脉冲,通过51单片机对一定时间方波脉冲个数进行计数,计算出电机的速度,实现了直流电机的反馈控制。关键词:直流电机调速;H桥驱动电路;LCD显示器;51单片机ABSTRACTDC motor has a good startup performance and speed characteristics, it is characterized by starting torque, maximum torque, in a wide range of smooth, economical speed, speed, easy control, speed control after the high efficiency. This design of DC motor speed control system, mainly by the microcontroller 51, power supply, H-bridge driver circuits, LED liquid crystal display, the Hall velocity and independent key component circuits of electronic products. Power supply with 78 series chip +5 V, +15 V for motor speed control using PWM wave mode, PWM is a pulse width modulation, duty cycle by changing the MCU 51. Achieved through independent buttons start and stop the motor, speed control, turning the manual control, LED realize the measurement data (speed) of the display. Motor speed using Hall sensor output square wave, by 51 seconds to 1 microcontroller square wave pulses are counted to calculate the speed of the motor to achieve a DC motor feedback control. Keywords:DC motor speed control;H bridge driver circuit;LCD displayII目 录摘 要IABSTRACTII1 绪论11.1 现行方案的讨论与比较11.2 选择PWM控制系统的理由21.3 采用转速电流双闭环的理由21.4 设计目的与意义32设计系统的MATLAB仿真42.1 设计系统的参数计算42.2 基于Matlab/Simulink的系统仿真建模113 主电路设计163.1 PWM信号发生电路设计163.2 功率放大驱动电路设计204 单片机控制电路的设计254.1 单片机基本系统254.2 A/D接口电路264.3 显示电路设计284.4 编码器脉冲输入接口电路294.5 开关量输入输出电路304.6 电源电路设计304.7 PWM控制输出通道及驱动电路314.8 给定输入设计344.9 串行通信接口电路的设计345 控制算法的设计365.1 主系统框图365.2 主系统算法375.3 PI调节算法375.4 电压电流采样流程图405.5 转速采样流程图416 系统的软件设计416.1系统初始化模块416.2数据采样416.3电流环和速度环的控制42心得体会44附录145附录246附录3471 绪论1.1 现行方案的讨论与比较直流电动机的调速方法有三种:(1)调节电枢供电电压U。改变电枢电压主要是从额定电压往下降低电枢电压,从电动机额定转速向下变速,属恒转矩调速方法。对于要求在一定范围内无级平滑调速的系统来说,这种方法最好。变化遇到的时间常数较小,能快速响应,但是需要大容量可调直流电源。(2)改变电动机主磁通。改变磁通可以实现无级平滑调速,但只能减弱磁通进行调速(简称弱磁调速),从电机额定转速向上调速,属恒功率调速方法。变化时间遇到的时间常数同变化遇到的相比要大得多,响应速度较慢,但所需电源容量小。(3)改变电枢回路电阻R。在电动机电枢回路外串电阻进行调速的方法,设备简单,操作方便。但是只能进行有级调速,调速平滑性差,机械特性较软;空载时几乎没什么调速作用;还会在调速电阻上消耗大量电能。改变电阻调速缺点很多,目前很少采用,仅在有些起重机、卷扬机及电车等调速性能要求不高或低速运转时间不长的传动系统中采用。弱磁调速范围不大,往往是和调压调速配合使用,在额定转速以上作小范围的升速。对于要求在一定范围内无级平滑调速的系统来说,以调节电枢供电电压的方式为最好。因此,自动控制的直流调速系统往往以调压调速为主速。改变电枢电压调速是直流调速系统采用的主要方法,调节电枢供电电压需要有专门的可控直流电源,常用的可控直流电源有以下三种:(1)旋转变流机组。用交流电动机和直流发电机组成机组,以获得可调的直流电压。(2)静止可控整流器。用静止的可控整流器,如汞弧整流器和晶闸管整流装置,产生可调的直流电压。(3)直流斩波器或脉宽调制变换器。用恒定直流电源或不可控整流电源供电,利用直流斩波或脉宽调制的方法产生可调的直流平均电压。1.2 选择PWM控制系统的理由脉宽调制器UPW采用美国硅通用公司(Silicon General)的第二代产品SG3525,这是一种性能优良,功能全、通用性强的单片集成PWM控制器。由于它简单、可靠及使用方便灵活,大大简化了脉宽调制器的设计及调试,故获得广泛使用。PWM系统在很多方面具有较大的优越性 :1)PWM调速系统主电路线路简单,需用的功率器件少。2)开关频率高,电流容易连续,谐波少,电机损耗及发热都较小。3)低速性能好,稳速精度高,调速范围广,可达到1:10000左右。4)如果可以与快速响应的电动机配合,则系统频带宽,动态响应快,动态抗扰能力强。5)功率开关器件工作在开关状态,导通损耗小,当开关频率适当时,开关损耗也不大,因而装置效率较高。 6)直流电源采用不可控整流时,电网功率因数比相控整流器高。 变频调速很快为广大电动机用户所接受,成为了一种最受欢迎的调速方法,在一些中小容量的动态高性能系统中更是已经完全取代了其他调速方式。由此可见,变频调速是非常值得自动化工作者去研究的。在变频调速方式中,PWM调速方式尤为大家所重视,这是我们选取它作为研究对象的重要原因。 1.3 采用转速电流双闭环的理由同开环控制系统相比,闭环控制具有一系列优点。在反馈控制系统中,不管出于什么原因(外部扰动或系统内部变化),只要被控制量偏离规定值,就会产生相应的控制作用去消除偏差。因此,它具有抑制干扰的能力,对元件特性变化不敏感,并能改善系统的响应特性。由于闭环系统的这些优点因此选用闭环系统。单闭环速度反馈调速系统,采用PI控制器时,可以保证系统稳态速度误差为零。但是如果对系统的动态性能要求较高,如果要求快速起制动,突加负载动态速降小等,单闭环系统就难以满足要求。这主要是因为在单闭环系统中不能完全按照要求来控制动态过程的电流或转矩。另外,单闭环调速系统的动态抗干扰性较差,当电网电压波动时,必须待转速发生变化后,调节作用才能产生,因此动态误差较大。在要求较高的调速系统中,一般有两个基本要求:一是能够快速启动制动;二是能够快速克服负载、电网等干扰。通过分析发现,如果要求快速起动,必须使直流电动机在起动过程中输出最大的恒定允许电磁转矩,即最大的恒定允许电枢电流,当电枢电流保持最大允许值时,电动机以恒加速度升速至给定转速,然后电枢电流立即降至负载电流值。如果要求快速克服电网的干扰,必须对电枢电流进行调节。以上两点都涉及电枢电流的控制,所以自然考虑到将电枢电流也作为被控量,组成转速、电流双闭环调速系统。1.4 设计目的与意义本文设计的直流PWM调速系统采用的是调压调速。系统主电路采用大功率GTR为开关器件、H桥单极式电路为功率放大电路的结构。PWM调制部分是在单片机开发平台之上,运用汇编语言编程控制。由定时器来产生宽度可调的矩形波。通过调节波形的宽度来控制H电路中的GTR通断时间,以达到调节电机速度的目的。增加了系统的灵活性和精确性,使整个PWM脉冲的产生过程得到了大大的简化。本设计以AT89C51单片机为核心,以键盘作为输入达到控制直流电机的启停、速度和方向,完成了基本要求和发挥部分的要求。在设计中,采用了PWM技术对电机进行控制,通过对占空比的计算达到精确调速的目的。本文介绍了直流电机的工作原理和数学模型、脉宽调制(PWM)控制原理和H桥电路基本原理设计了驱动电路的总体结构,根据模型,利用PROTEUS软件对各个子电路及整体2设计系统的MATLAB仿真2.1 设计系统的参数计算转速,电流双闭环调速系统,入图2 图2 双闭环调速系统的动态结构图-IdLUd0Un+-+-UiACR1/RTl s+1RTmsU*iUcKs Tss+1Id1Ce+Eb T0is+11 T0is+1ASR1 T0ns+1a T0ns+1U*nn2.1.1 电流调节器的设计等效成单位负反馈系统+-ACRUc (s)Ks /R(Tss+1)(Tls+1)sss+1)Id (s)U*i(s)bb T0is+1图(2-2)如果把给定滤波和反馈滤波两个环节都等效地移到环内,同时把给定信号改成U*i(s) /b ,则电流环便等效成单位负反馈系统(图2-2)。 1.小惯性环节近似处理最后,由于Ts 和 T0i 一般都比Tl 小得多,可以当作小惯性群而近似地看作是一个惯性环节,其时间常数为 i = Ts + Toi。近似条件为电流环结构图最终简化成图2-3+-ACRUc (s)bKs /R (Tls+1)(TSis+1)Id (s)U*i(s)b+-ACRUc (s)bKs /R (Tls+1)(TSis+1)Id (s)U*i(s)图2-32.电流调节器结构的选择根据设计技术参数要求1,电流过载倍数为1.5倍,并且使系统有好的动态性能,可以知道Wacr可用PI调节器,使电流环设计成典型系统。式中 Ki 电流调节器的比例系数; ti 电流调节器的超前时间常数。Wi(s)=WacrWobj=*bKs /R/ (Tls+1)(TSis+1)= Ki /s(TSis+1)为了让调节器零点与控制对象的大时间常数极点对消,选择i=Tl, 其中3.电流调节器的参数计算平波电抗器的电感 Ld=5.45*Unom/Inom(2Lt+La)=85.44 其中Lt:整流变压器的漏感,0.24mH; Lm:电动机电枢电感,10mH;L=La+2Lt+Lh+Ld=10+2*0.24+3.2+85.44=99.12mHR=2.5;T=99.12/1.385/1000=0.07;电动机的电动势系数Ce=0.1352V(r.min-1);电动机额定励磁的转矩电流比 Cm=30Ce/=1.2655;Tm=*9.8=0.105*2.5/375*0.1352*1.2655=0.004s;整流时间常数Ts=1f=0.0005s;电流滤波时间常数Toi=0.001s;T=Toi+Ts=0.001+0.0005=0.0015s;=U*im/dim=10/1.5*17.3=0.385;=U*um/Nmax=10/1500=0.0067;由设计要求知电流环的超调量5%;查表可得KT=0.5;T=T=0.0015s,=Tl=0.017 ,Ks =Ud0Uc=22010=22则K=0.5/0.0015=333.4;由 得Ki=333.4*0.017*2.5/0.385*22=1.672;所求 =1.67*(0.017s+1)0.017s4.电流参数的校验校验近似条件:电流环截止频率ci =KI=333.4校验PWM调压系统传递函数的近似条件是否满足。因为13*0.005=666.7,所以满足近似条件。校验忽略反电动势对电流环影响的近似条件是否满足。现在31TmTl=310.004*0.07,满足近似条件。校验小时间常数近似处理是否满足条件。现在1310.0005*0.001,满足近似条件。按照上述参数,电流环满足动态设计指标要求和近似条件。5.电流调节器的实现 模拟式电流调节器电路如图4所示 U*i 为电流给定电压; bId 为电流负反馈电压; Uc 电力电子变换器的控制电压 电流调节器电路参数的计算公式: 图4 各电阻和电容值计算如下:Ri=KiRo=1.672*40=66.88KCi=i/Ri=(0.017/66.88)*1000=25.4FCoi=4Toi/Ro=(4*0.001/40*1000=0.1F2.1.2转速环的设计用电流环的等效环节代替图2 中的电流环后,整个转速控制系统的动态结构图便如图2-4所示。n (s)+-Un (s)ASRCeTmsRU*n(s)Id (s)a T0ns+11 T0ns+1U*n(s)+-IdL (s)图2-4转速环的动态结构图及其简化 电流环1系统等效和小惯性的近似处理 和电流环中一样,把转速给定滤波和反馈滤波环节移到环内,同时将给定信号改成 U*n(s)/a,再把时间常数为 1 / KI 和 T0n 的两个小惯性环节合并起来,近似成一个时间常数为的惯性环节,其中 ; 等效后的方框图为n (s)+-ASRCeTmsRU*n(s)Id (s)a /b TSns+1U*n(s)+-IdL (s)等效成单位负反馈系统和小惯性的近似处理2转速调节器结构的选择根据设计要求为了实现转速无静差,在负载扰动作用点前面必须有一个积分环节,它应该包含在转速调节器 ASR 中,现在在扰动作用点后面已经有了一个积分环节,因此转速环开环传递函数应共有两个积分环节,所以应该设计成典型 型系统 。由此可见,ASR也应该采用PI调节器,其传递函数为式中 Kn 转速调节器的比例系数;t n 转速调节器的超前时间常数。 调速系统的开环传递函数为Wn(s)=*Wobj=令转速环开环增益为 则 3.转速调节器的参数计算电流环时间常数1/K。由电流环设计可知KT=0.5,则 1/K=2T =2*0.0015=0.003;转速滤波时间常数Tom。根据所用测速发电机纹波情况,取Ton=0.01s转速时间常数T=1/K+Ton=0.003+0.005s=0.008s按跟随和抗扰性能都好的原则,取h=5,则ASR的超前时间常数为 =h T=5*0.008=0.04s由 得 Kn=5+12*5*5*0.008*0.008=1875Kn=6*0.385*0.1352*0.0762*5*0.0067*2.5*0.008=17.7=17.7(0.04s+1)0.04s4转速参数的校验校验近似条件:转速环截止频率=Kn=1875*0.04=75s电流环传递函数简化条件为 满足条件;转速环的小时间常数近似处理条件为 满足条件;5转速环的实现模拟式转速调节器电路U*n 为转速给定电压,-a n 为转速负反馈电压,U*i 调节器的输出是电流调节器的给定电压。 转速调节器参数计算 各电阻和电容值计算如下:Rn=KnRo=17.7*40=708K Cn=n/Rn=(0.04/)*1000=0.00056FCon=4Ton/Ro=(4*0.005/40*1000)=50F得到仿真图为:2.2 基于Matlab/Simulink的系统仿真建模设计的本课题基于Matlab/Simulink的系统仿真原理图如下图3.1所示。主要包含以下几个重要模块:1转速调节器设计模块2电流调节器设计模块3 H桥可逆PWM变换器设计模块4直流电动机参数设计模块5转速和电流反馈环节图3.1PWM可逆直流调速系统仿真原理图2.2.1 仿真分析与结果分析(1)双闭环直流调速系统在给定阶跃输入信号时的跟随性能如下图所示。图3.2为转速仿真波形图,图3.3为对应的电机电枢电流波形图。图3.2转速仿真波形图3.3电流仿真波形结果分析:在阶跃信号作用下,电机转速突然上升到一个很大值;但它只是一瞬间的作用,马上作用消失,随之1s时间内电机转速迅速下降至停止转动。这一结果表示该系统的跟随性能很好。(2)双闭环直流调速系统在带负载时的性能如下图所示。图3.4为转速仿真波形图,图3.5为对应的电机电枢电流波形图。图3.4转速仿真波形图3.5电流仿真波形结果分析:在加负载后,由于是恒转矩负载,电机在它的作用下最后以一恒定的转速稳定运行。表示该系统的带载能力也很好。(3)双闭环直流调速系统在扰动作用下的抗扰性能如下图所示。图3.6为转速仿真波形图,图3.7为对应的电机电枢电流波形图。图3.6转速仿真波形 图3.7电流仿真波形结果分析:电机在1s时已稳定运转,在1s的时候加入一定值的扰动信号后,电机此时转速瞬间降到很小的值,但随即又迅速回升,经大约1s的时间,恢复到原来的转速稳定运转。表示该系统的抗扰性能好。 3 主电路设计 3.1 PWM信号发生电路设计 3.1.1 PWM的基本原理PWM(脉冲宽度调制)是通过控制固定电压的直流电源开关频率,改变负载两端的电压,从而达到控制要求的一种电压调整方法。PWM可以应用在许多方面,比如:电机调速、温度控制、压力控制等等。在PWM驱动控制的调整系统中,按一个固定的频率来接通和断开电源,并且根据需要改变一个周期内“接通”和“断开”时间的长短。通过改变直流电机电枢上电压的“占空比”来达到改变平均电压大小的目的,从而来控制电动机的转速。也正因为如此,PWM又被称为“开关驱动装置”。如图3.1所示:图3.1PWM方波设电机始终接通电源时,电机转速最大为Vmax,设占空比为D= t1 / T,则电机的平均速度为Va = Vmax * D,其中Va指的是电机的平均速度;Vmax 是指电机在全通电时的最大速度;D = t1 / T是指占空比。由上面的公式可见,当我们改变占空比D = t1 / T时,就可以得到不同的电机平均速度Vd,从而达到调速的目的。严格来说,平均速度Vd 与占空比D并非严格的线性关系,但是在一般的应用中,我们可以将其近似地看成是线性关系。 3.1.2 PWM控制芯片SG3525功能简介 随着电能变换技术的发展,功率MOSFET在开关变换器中开始广泛使用,为此美国硅通用半导体公司(Silicon General)推出SG3525。SG3525是用于驱动N沟道功率MOSFET。SG3525是电流控制型PWM控制器,所谓电流控制型脉宽调制器是按照接反馈电流来调节脉宽的。在脉宽比较器的输入端直接用流过输出电感线圈的信号与误差放大器输出信号进行比较,从而调节占空比使输出的电感峰值电流跟随误差电压变化而变化。 3.1.3 SG3525引脚功能及特点简介其原理图如图3.2所示: 图3.2 SG3525内部电路图 图3.3 SG3525引脚图1.Inv.input(引脚1):误差放大器反向输入端。在闭环系统中,该引脚接反馈信号。在开环系统中,该端与补偿信号输入端(引脚9)相连,可构成跟随器。 2.Noninv.input(引脚2):误差放大器同向输入端。在闭环系统和开环系统中,该端接给定信号。根据需要,在该端与补偿信号输入端(引脚9)之间接入不同类型的反馈网络,可以构成比例、比例积分和积分等类型的调节器。 3.Sync(引脚3):振荡器外接同步信号输入端。该端接外部同步脉冲信号可实现与外电路同步。 4.OSC.Output(引脚4):振荡器输出端。 5.CT(引脚5):振荡器定时电容接入端。 6.RT(引脚6):振荡器定时电阻接入端。 7.Discharge(引脚7):振荡器放电端。该端与引脚5之间外接一只放电电阻,构成放电回路。 8.Soft-Start(引脚8):软启动电容接入端。该端通常接一只5u 的软启动电容。 9.Compensation(引脚9):PWM比较器补偿信号输入端。在该端与引脚2之间接入不同类型的反馈网络,可以构成比例、比例积分和积分等类型调节器。 10.Shutdown(引脚10):外部关断信号输入端。该端接高电平时控制器输出被禁止。该端可与保护电路相连,以实现故障保护。 11.Output A(引脚11):输出端A。引脚11和引脚14是两路互补输出端。 12.Ground(引脚12):信号地。 13.Vc(引脚13):输出级偏置电压接入端。 14.Output B(引脚14):输出端B。引脚14和引脚11是两路互补输出端。 15.Vcc(引脚15):偏置电源接入端。 16.Vref(引脚16):基准电源输出端。该端可输出一温度稳定性极好的基准电压。 特点如下: (1)工作电压范围宽:835V。 (2)5.1(11.0%)V微调基准电源。 (3)振荡器工作频率范围宽:100Hz400KHz。 (4)具有振荡器外部同步功能。 (5)死区时间可调。 (6)内置软启动电路。 (7)具有输入欠电压锁定功能。 (8)具有PWM琐存功能,禁止多脉冲。 (9)逐个脉冲关断。 (10)双路输出(灌电流/拉电流):mA(峰值)。 3.1.4 SG3525的工作原理 SG3525内置了5.1V精密基准电源,微调至 1.0%,在误差放大器共模输入电压范围内,无须外接分压电组。SG3525还增加了同步功能,可以工作在主从模式,也可以与外部系统时钟信号同步,为设计提供了极大的灵活性。在CT引脚和Discharge引脚之间加入一个电阻就可以实现对死区时间的调节功能。由于SG3525内部集成了软启动电路,因此只需要一个外接定时电容。 SG3525的软启动接入端(引脚8)上通常接一个10u的软启动电容。上电过程中,由于电容两端的电压不能突变,因此与软启动电容接入端相连的PWM比较器反向输入端处于低电平,PWM比较器输出高电平。此时,PWM琐存器的输出也为高电平,该高电平通过两个或非门加到输出晶体管上,使之无法导通。只有软启动电容充电至其上的电压使引脚8处于高电平时,SG3525才开始工作。由于实际中,基准电压通常是接在误差放大器的同相输入端上,而输出电压的采样电压则加在误差放大器的反相输入端上。当输出电压因输入电压的升高或负载的变化而升高时,误差放大器的输出将减小,这将导致PWM比较器输出为正的时间变长,PWM琐存器输出高电平的时间也变长,因此输出晶体管的导通时间将最终变短,从而使输出电压回落到额定值,实现了稳态。反之亦然。 外接关断信号对输出级和软启动电路都起作用。当Shutdown(引脚10)上的信号为高电平时,PWM琐存器将立即动作,禁止SG3525的输出,同时,软启动电容将开始放电。如果该高电平持续,软启动电容将充分放电,直到关断信号结束,才重新进入软启动过程。注意,Shutdown引脚不能悬空,应通过接地电阻可靠接地,以防止外部干扰信号耦合而影响SG3525的正常工作。 欠电压锁定功能同样作用于输出级和软启动电路。如果输入电压过低,在SG3525的输出被关断同时,软启动电容将开始放电。 此外,SG3525还具有以下功能,即无论因为什么原因造成PWM脉冲中止,输出都将被中止,直到下一个时钟信号到来,PWM琐存器才被复位。 3.2 功率放大驱动电路设计功率放大驱动芯片有多种,其中较常用的芯片有IR2110和EXB841,但由于IR2110具有双通道驱动特性,且电路简单,使用方便,价格相对EXB841便宜,具有较高的性价比,且对于直流电机调速使用起来更加简便,因此该驱动电路采用了IR2110集成芯片,使得该集成电路具有较强的驱动能力和保护功能。3.2.1 芯片IR2110性能及特点 IR2110是美国国际整流器公司利用自身独有的高压集成电路以及无闩锁CMOS技术,于1990年前后开发并且投放市场的,IR2110是一种双通道高压、高速的功率器件栅极驱动的单片式集成驱动器。它把驱动高压侧和低压侧MOSFET或IGBT所需的绝大部分功能集成在一个高性能的封装内,外接很少的分立元件就能提供极快的功耗,它的特点在于,将输入逻辑信号转换成同相低阻输出驱动信号,可以驱动同一桥臂的两路输出,驱动能力强,响应速度快,工作电压比较高,可以达到600V,其内设欠压封锁,成本低、易于调试。高压侧驱动采用外部自举电容上电,与其他驱动电路相比,它在设计上大大减少了驱动变压器和电容的数目,使得MOSFET和IGBT的驱动电路设计大为简化,而且它可以实现对MOSFET和IGBT的最优驱动,还具有快速完整的保护功能。与此同时,IR2110的研制成功并且投入应用可以极大地提高控制系统的可靠性。降低了产品成本和减少体积。 3.2.2 IR2110的引脚图以及功能IR2110将输入逻辑信号转换成同相低阻输出驱动信号,可以驱动同一桥臂的两路输出,驱动能力强,响应速度快,工作电压比较高,是目前功率放大驱动电路中使用最多的驱动芯片。其结构也比较简单,芯片引脚图如下图3.4所示:图3.4 IR2110引脚图 LO(引脚1):低端输出COM(引脚2):公共端Vcc(引脚3):低端固定电源电压Nc(引脚4): 空端Vs(引脚5):高端浮置电源偏移电压VB (引脚6):高端浮置电源电压HO(引脚7):高端输出Nc(引脚8): 空端VDD(引脚9):逻辑电源电压HIN(引脚10): 逻辑高端输入SD(引脚11):关断LIN(引脚12):逻辑低端输入Vss(引脚13):逻辑电路地电位端,其值可以为0VNc(引脚14):空端 IR2110 的内部功能框图如下图3.5所示。由三个部分组成:逻辑输入,电平平移及输出保护。如上所述IR2110的特点,可以为装置的设计带来许多方便。尤其是高端悬浮自举电源的成功设计,可以大大减少驱动电源的数目,三相桥式变换器,仅用一组电源即可。 图3.5 IR2110 的内部功能框图 3.2.3 高压侧悬浮驱动的自举原理 IR2110 用于驱动半桥的电路如下图3.6所示。图中C1、VD1 分别为自举电容和二极管,C2 为VCC 的滤波电容。 假定在S1 关断期间C1 已充到足够的电压(VC1VCC)。当HIN 为高电平时VM1 开通,VM2 关断,VC1 加到S1 的门极和发射极之间,C1 通过VM1,Rg1 和S1 门极栅极电容Cgc1 放电,Cgc1 被充电。此时VC1 可等效为一个电压源。当HIN 为低电平时,VM2 开通,VM1 断开,S1 栅电荷经Rg1、VM2 迅速释放,S1 关断。经短暂的死区时间(td)之后,LIN 为高电平,S2 开通,VCC 经VD1,S2 给C1 充电,迅速为C1 补充能量。如此循环反复。 图3.6 半桥驱动电路 3.2.4 自举元器件的分析与设计 如图3.6所示自举二极管(VD1)和电容(C1)是IR2110 在PWM 应用时需要严格挑选和设计的元器件,应根据一定的规则进行计算分析。在电路实验时进行一些调整,使电路工作在最佳状态。 自举电容的设计: IGBT 和PM(POWERMOSFET)具有相似的门极特性。开通时,需要在极短的时间内向门极提供足够的栅电荷。假定在器件开通后,自举电容两端电压比器件充分导通所需要的电压(10V,高压侧锁定电压为8.7/8.3V)要高;再假定在自举电容充电路径上有1.5V 的压降(包括VD1 的正向压降);最后假定有1/2 的栅电压(栅极门槛电压VTH 通常35V)因泄漏电流引起电压降。综合上述条件,此时对应的自举电容可用下式表示:工程应用则取 C12Qg/(VCC101.5)。对于本设计通过运算取C1=0.1U 自举二极管的选择: 自举二极管是一个重要的自举器件,它应能阻断直流干线上的高压,二极管承受的电流是栅极电荷与开关频率之积。为了减少电荷损失,应选择反向漏电流小的快恢复二极管。 3.2.5 利用IR2110芯片的完善设计可以实现延时保护电路 IR2110使它自身可对输入的两个通道信号之间产生合适的延时,保证了加到被驱动的逆变桥中同桥臂上的两个功率MOS器件的驱动信号之间有一互琐时间间隔,因而防止了被驱动的逆变桥中两个功率MOS器件同时导通而发生直流电源直通路的危险。从上面的原理可以看出,产生高压侧门极驱动电压的前提是低压侧必须有开关的动作,在高压侧截止期间低压侧必须导通,才能够给自举电容提供充电的通路。因此在这个电路中,Q1、Q4或者Q2、Q3是不可能持续、不间断的导通的。我们可以采取双PWM信号来控制直流电机的正转以及它的速度。将IC1的HIN端与IC2的LIN端相连,而把IC1的LIN端与IC2的HIN端相连,这样就使得两片芯片所输出的信号恰好相反。在HIN为高电平期间,Q1、Q4导通,在直流电机上加正向的工作电压。其具体的操作步骤如下:当I

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论