基于VHDL的ASK调制与解调设计与实现_第1页
基于VHDL的ASK调制与解调设计与实现_第2页
基于VHDL的ASK调制与解调设计与实现_第3页
基于VHDL的ASK调制与解调设计与实现_第4页
基于VHDL的ASK调制与解调设计与实现_第5页
已阅读5页,还剩30页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

目 录 1 引言 . 1 1.1 课题研究的背景与意 义 . 1 1.2 课题的研究现状 . 1 1.3 本文的结构及主要工 作 . 2 2 ASK 调制解调系统 的原理 . 4 2.1 ASK 调 制原理及设计方法 . 4 2.2 ASK 解 调原理及设计方法 . 5 2.3 ASK 信 号的功率谱及带宽 . 6 2.4 ASK 系 统的抗噪声性能 . 8 2.4.1 包络检 测时 2ASK 系统的误码率 . 8 2.4.2 相干解 调时 2ASK 的系统误码率 . 10 3 ASK 调制与解调 的 VHDL 系统建模 . 12 3.1 软件平台介绍 . 12 3.2 ASK 调 制与解调系统的具体设计 . 15 3.3 基于 VHD L 的 ASK 调制系统仿真与分析 . 16 3.4 基于 VHD L 的 ASK 解调系统仿真与分析 . 19 3.5 ASK 调 制解调联合对比 . 21 3.6 本章总结 . 23 4 基于 VHDL 的 MASK 调制系统设计与仿真 . 24 4.1 多进制振幅调制 . 24 4.2 基于 VHD L 的 MASK 调制系统实现 . 24 5 总结 . 26 附 录 . 27 1 ASK 调制 V HDL 程序 . 27 2 ASK 解调 V HDL 程序 . 28 3 MASK 调制 VHDL 程序 . 29 参考文献 . 32 第 I 页 共 II 页 【1】【2】【3】【4】【5】【6】【7】【8】【9】1 引言 1.1课题研究的背景与意 义 通信即传输信息,进行信息的时空转移。通信系统的作用就是将信息从信源发送到一个或多个目的地 。实现通信的方 式和手段很多,如手势、语言、旌旗、烽火台和击鼓传令,以及现代社会的电报、电话、广播、电视、遥控、遥测、因特网和计算机通信等,这些都是消息传递的方式和信息交流的手段 。伴随着人类的文明和科学技术的发展,电信技术也是以一日千里的速度飞速发展,如今,在自然科学领域涉及“通信”这一术语时,一般指“电通信”。现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向通过调制,不仅可以进行频谱搬移,把调制信号的频谱搬移到所希望的位置上,而且它对系统的传输有效性和传输的可靠性有着很大的影响。从模拟调制到数字调制,从二进制发展到多进制调制,虽然调制方式多种多样,但都是朝着使通信系统更高速、更可靠的方向发 展 。一个系统 的通信质量,很大程度上依赖于所采用的调制方式。因此,对调制方式的研究,将直接决定着通信系统质量的好坏 。 ASK(AmplitudeShift Keying)作为一种简单高效便捷,易于实现的特点,在目前的通信领域中有着其独特的位置,对基于 ASK 的通信系统的研究与应用也是众多研究项目中的热点 。在实际应用当中,大型、复杂的系统直接实验是十分昂贵的,而通信系统设计研究是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统分析和设计 。利用仿真,可以大大降低实验成本。在实际通信中,很多信道都不能直接传送基带信号,必须用基带信号对载波波形的某些参量进行控制,使载波的这些参量随基带信号的变化而变化,即所谓正弦载波调制 。 1.2 课题的研究现状 近十几年来,随着计算机,人工智能,模式识别的信号处理等技术的飞速发展。通信信号的自动调制识别技术得到长足的发展。数字调制传输在现代通信中发挥着越来越重要的作用,主要是因为数字通信有以下优点 : 第 1 页 共 35 页 【10】【11】【12】【13】数字信号便于存储、处理 、抗干扰能力强数字信号便于交换和传输可靠性高,传输过程中的差错可以设法控制数字信号易于加密且保密性强通用性和灵活性好。 ASK(AmplitudeShift Keying)振幅调制作为数字调制传输的一种常用方式,具备上述所有的优点,所以 ASK 的应用是非常广泛的,如在基于 ASK 的神经网络解调器研究上与传统解调器相比,它有一些很重要的特点 :第一,基于 ASK 的神经网络算法用于解调处理,其抗干扰性能优于传统方法;第二,基于 ASK 的神经网络解调 器有和传统 解调器相 似的处理 单元,但 在神经网络 中,这些 功能被整合 在多个神经 元中,无需 对每个处 理单元和功 能进行单独 设计, 这些处理 功能都是在 其学习过程中自己获得的; 第三,解调系统为并行结构,所以处 理速度比传统速度更快。还有开发多信道通信系统 时针对 ASK 中频信号发生器和接收机的 FPGA 设计及实现的研究,研究结果表明能增加系统的冗余性,提高系统的可靠性 。 有 较为广泛的市场前景的应用于智能系统包括家庭保安系统、自动化控制系统、汽车门禁系统以及RF ID 等领域的工作于超高频(UHF)的射频接收机也常使用于 ASK 数字调制方式 。在其它应用中还有如基于 ASK 无线射频收发模块的安防系统 ,无线射频数据传送电路和 EMC 微处理器设计为一体,构成具有检测不同信号和无线数据传输的功能模块,并通过无线接收模块与电话网络连网,应用于家庭及单位的安防系统。 1.3本文的结构及主要工 作本文论述了基于 VHDL 及 CPLD 实现 ASK 数字调制系统的方法,其实现步骤包括: 1.研究 2ASK 调制解调系统的原理及设计方法以及 2ASK 的频谱和抗噪声性能; 2.根据各个系统的总体功能与硬件特点,设计总体框图; 3.根据 VHDL 语言特点,对系统进行 VHDL 建模; 4.根据 VHDL 模型,进行具体 VHDL 语言程序设计; 5.对设计的程序进行波形仿真与调试。第 2 页 共 35 页 6.基于 VHDL 的 MASK 调制研究 第 3 页 共 35 页 式中, 为载波角频率, s(t) 为单极性 NRZ 矩形脉冲序列2 ASK 调制解调系统的原理 2.1 ASK 调 制原理及设计方法数字幅度调制又称幅度键控(ASK),二进制幅度键控记作 2ASK。2ASK 是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。借助于第3 章幅度调制的原理,2ASK 信号可表示为e0 = s(t) cos wct (2.1)cs(t) = an g(t - nTb ) (2.2)n其中, g(t) 是持续时间为 Tb 、高度为 1 的矩形脉冲,常称为门函数,an 为二进制数字an1,出现概率为 p= 0,出现概率为( 1- p)(2.3)2ASK 信号的产生方法(调制方法)有两种,如下图 2.1 所示:图(a)是一般的模拟幅度调制方法,不过这里的 s(t)由式(2.2)规定;图(b)是一种键控方法,这里的开关电路受 s(t) 控制。图(c)给出 e0(t) 的波形示例。二进制幅度键控信号,由于一个信号状态始终为 0,相当于处于断开状态,故又常称为通断 键控信号(OOK 信号)。 第 4 页 共 35 页 1z(t) = y(t) cosw t = s(t) co s w t = s(t)(1 + cos 2w t)2(2.4) 1 1= s(t) + s(t) cos 2w t图 2.1 ASK 信号产生方法及波形 2.2 ASK 解 调原理及设计方法ASK 信号解调的常用方法主要有两种:包络检波法和相干检测法包络检波法的原理方框图如图 2.2 所示:带通滤波器(BPF)恰好使 2ASK 信号完整地通过,经包络检测后,输出其包络。低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。不计噪声影响时,带通滤波器输出为 2ASK 信号,即y(t) = e0 (t) = s(t) coswct ,包络检波器输出为 s(t) 。经抽样、判决后将码元再生,即可恢复出数字序列 an 。相干检测法原理方框图如图 2.3 所示:相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。利用此载波与收到的已调信号相乘,输出为 2 2第 5 页 共 35 页 图 2.2 2ASK 信号的包络解调 【14】【15】1P ( f ) = P ( f + f ) + P ( f - f ) (2.6) 图 2.3 2ASK 的相干解调 经低通滤波滤除第二项高频分量后,即可输出 s(t) 信号。低通滤波器的截止频率与基带数字信号的最高频率相等。由于噪声影响及传输特性的不理想,低通滤波器输出波形有失真,经抽样判决、整形后再生数字基带脉冲 。 虽然 2ASK 信号中确实存在着载波分量,原则上可以通过窄带滤波器或锁相环来提取同步载波,但这会给接收设备增加复杂性。因此,实际中很少采用相干解调法来解调 2ASK 信号 。 2.3 ASK 信 号的功率谱及带宽 从 2ASK 的原理可知,一个 2ASK 信号 e0 (t) 可以表示成 e0 = s(t) cos wct (2.5) 这里, s(t) 是代表信息的随机单极性矩形脉冲序列。 现设 s(t) 的功率谱密度为 Ps ( f ) , e0 (t) 的功率谱密度为 Pe( f ) ,则由式(2.5)可以证得 4第 6 页 共 35 页 对于单极性 NRZ 码,有 Ps ( f ) =142TbSa (pfTb ) +14d ( f ) (2.7) TbP ( f ) = Sa p ( f - f )T + Sa1+ d ( f + f ) + d ( f - f )代入式(2.6),得 2ASK 信号功率谱: 1616可知: 2p ( f - fc)Tb (2.8) (2)2ASK 信号的带宽 B 是数字基带信号带宽 的两倍 2B = 2B = = 2 f (2.9) (1)2ASK 信号的功率谱由连续谱和离散谱两部分组成。其中,连续谱取决于数字基带信号 s(t) 经线性调制后的双边带谱,而离散谱则由载波分量确定。 2 ASK sT(3)因为系统的传码率 RB图 2.4 2ASK 信号的功率谱 = 1/ Tb (Baud),故 2ASK 系统的频带利用率为 第 7 页 共 35 页 h =1Tb2=fb=1(Baud / Hz) (2.10) Tb2 fb2这意味着用 2ASK 方式传送码元速率为带宽至少为 2RB (Hz)。2.4 ASK 系 统的抗噪声性能RB的二进制数字信号时,要求该系统的【16】2Acosw t ,发“1”s(t ) = y(t) = s (t ) + n (t)Acos w t +n (t )cosw t -n (t )sin w t ,发“1”(2.12) 通信系统的抗噪声性能是指系统克服加性噪声的能力。在数字系统中它通常采用误码率来衡量。由于加性噪声被认为只对信号的接收产生影响,故分析系统的抗噪声性能只需考虑接收部分 。 假定信道噪声为加性高斯白噪声 n(t) ,其均值为 0、方差为 sn ;接收的信号为 0,发“0” (2.11) 2.4.1 包络检 测时 2ASK 系统的误码率对于图 2 所示的包络检测接收系统,其接收带通滤波器 BPF 的输出为 inc (t ) cos c t -ns (t ) sin wct ,发“0”其中, ni (t) = nc (t)coswct - ns (t)sin wct 为高斯白噪声经 BPF 限带后的窄带高斯白噪声。 经包络检波器检测,输出包络信号 A+n2(t )+n2(t ),发“1”x(t ) = 2c2s(2.13) nc(t)+ ns(t ) ,发“0”由式(2.12)可知,发“1”时,接收带通滤波器 BPF 的输出 y(t) 为正弦波加窄带高斯噪声形式;发“0”时,接收带通滤波器 BPF 的输出 y(t) 为纯粹窄带高斯噪声形式。于是,发“1”时,BPF 输出包络 x(t )的抽样值 x 的一维概率密度函数 f1( x)第 8 页 共 35 页 服从莱斯分布;而发“0”时,BPF 输出包络 x(t )的抽样值 x 的一维概率密度函数 f服从瑞利分布,如图 2.5 所示 0( x)x(t)图 2.5 包络检波时误码率的几何表示 亦即抽样判决器输入信号,对其进行抽样判决后即可确定接收码元是“1”还是“0”。我们规定,倘若x(t)的抽样值 x Ud,则判为“是 1 码”;若 x Ud,判为“是 0 码”。显然,选择什么样的判决门限电平 Ud与判决的正确程度(或错误程度)密切相关。选定的 U中清楚看到。 d不同,得到的误码率也不同。这一点可从下面的分析P(1 / 0) = P( x U ) = f ( x)d x = S (2.14) UP(0 /1) = P( x U ) = f ( x)dx = S (2.15) 式中, 、 S 分别为图 2.5 所示阴影面积。假设发送“ 1”码的概率为 P(1) ,发送1 1P = P(0/1) + P(1/ 0) = (S + S ) (2.16) 1当 P(1) = P(0) = ,即等概时 1 1P = P(0/1) + P(1/ 0) = (S + S )(2.17) 存在两种错判的可能性:一是发送的码元为“1”时,错判为“0”,其概率记为 P(0 /1) ;二是发送的码元为“0”时,错判为“l”,其概率记为 P(1/ 0) 。由图2.5 可知 d00 1“0”码的概率为 P(0) ,则系统的总误码率 Pe 为 2 222 2第 9 页 共 35 页 也就是说,Pe就是图 10 中两块阴影面积之和的一半。不难看出,当Ud= U+d时,该阴影面积之和最小,即误码率 Pe 最低。称此使误码率获最小值的门限 Ud为最佳+r-4P e (2.18) 2 2Acos w t +n (t ) cos w t -n (t ) sin w t ,发“1”c c c s cy(t ) = n (t ) cos t -n (t )sin w t ,发“0”A+n (t ),发“1”x(t) = n (t ),发“0”221 ( x - A)f ( x) = exp - , 发“1” (2.22) 22s门限。采用包络检波的接收系统,通常是工作在大信噪比的情况下,可以证明,这时的最佳门限 Ud = A / 2 ,系统的误码率近似为 2式中,r = A /(2s n ) 为包检器输入信噪比。由此可见,包络解调 2ASK 系统的误码率随输入信噪比 的增大,近似地按指数规律下降。 必需指出,式(2.18)是在等概、大信噪比、最佳门限下推导得出的,使用时应注意适用条件。2.4.2 相干解 调时 2ASK 的系统误码率2ASK 信号的相干解调接收系统如图 3 所示。图中,接收带通滤波器 BPF 的输出与包络检波时相同,为 c c s c取本地载波为 2 cos wct ,则乘法器输出 z(t) = 2y(t) coswct (2.20) 将式(2.19)代入,并经低通滤波器滤除高频分量,在抽样判决器输入端得到 cnc(t ) 为高斯噪声,因此,无论是发送“1”还是“0”, x(t) 瞬时值 x 的一维概率密度 f1 ( x) 、 f0 ( x) 都是方差为 s n 的正态分布函数,只是前者均值为 A,后者均值为 0,即 n n第 10 页 共 35 页 f0( x) =1exp( -x22), 发“0” (2.23) 其曲线如图 2.6 所示 2p sn2sn图 2.6 同步检测误码率的几何表示 类似于包络检波时的分析,不难看出:若仍令判决门限电平为 Ud,则将“0”P(1 / 0) = P( x U ) = f ( x) dx = S错判为“l”的概率 P(1/ 0) 及将“1”错判为“0”的概率 P(0 /1) 分别为 dUd(2.24) P(0 /1) = P( x Ud) =0f1( x)dx = S1 (2.25) 式中, S0Pe 为 、 S1(2.26) = P(0 /1) + P(1 / 0) = ( S + S )分别为图 2.6 所示的阴影面积。假设 P(1) = P( 0) ,则系统的总误码率Pe = P(1)P(0 /1) + P(0) P(1 / 0)2 2+且不难看出,最佳门限 Ud= A / 2 。 综合式(2.21)式(2.26),可以证明,这时系统误码率为 22Pe=12erfc(r2) (2.27) 式中, r = A/(2sn) 为解调器输入信噪比。当 r 1时,上式近似为 第 11 页 共 35 页 Pe 1pre-r4(2.28) 上式表明,随着输入信噪比的增加,系统的误码率将更迅速地按指数规律下降。必须注意,式(2.27)的适用条件是等概、最佳门限;式(2.28)的适用条件是等概、大信噪比、最佳门限。 比较式(2.28)和式(2.18)可以看出,在相同大信噪比情况下,2ASK 信号相干解调时的误码率总是低于包络检波时的误码率,即相干解调 2ASK 系统的抗噪声性能优于非相干解调系统,但两者相差并不太大。然而,包络检波解调不需要稳定的本地相干载波,故在电路上要比相干解调简单的多。 另外,包络检波法存在门限效应,相干检测法无门限效应。所以,一般而言,对 2ASK 系统,大信噪比条件下使用包络检测,即非相干解调,而小信噪比条件下使用相干解调。 3 ASK 调制与解调的 VHDL 系统建模3.1 软件平台介绍 第 12 页 共 35 页 【17】【18】【19】VHDL 的英文全名是 Very-High-SpeedIntegratedCircuit HardwareDescription Language,诞生于 1982 年。1987 年底,VHDL 被 IEEE 和美国国防部确认为标准硬件描述语言 。 CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件 ,是从PAL 和 GAL 器件发展出来的器件,相对而言规模大,结 构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言(VHDL)等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 FPGA(FieldProgrammable Gate Array) ,即现场可编程门阵列,它是在 PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。1993 年,IEEE 对 VHDL 进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL 的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本,(简称 93版)。现在,VHDL 和 Verilog 作为 IEEE 的工业标准硬件描述语言,又得到众多 EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL 与 Verilog 语言将承担起大部分的数字系统设计任务。VHDL语言是一种用于电路设计的高级语言。它在 80 年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL 的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Description Language。翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD 的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL 的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体 entity(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)第 13 页 共 35 页 【20】和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点 。MAXPLUSII 简介: I图 3.1 MAXPLUSII 软件界面 MAXPLUSII 的工作窗口如上图所示。在图中左边的 Task 窗口中,显示了当前任务的一些属性和可进行的操作,包括 STRAT PROJECT,ADVISORS,CREATE DESIGN,ASSIGN CONSTRAINTS 等。 新建 VHDL 文件、Block Diagram/Schematic File 及 Vetcor Waveform File,如图 3.2 所示: 第 14 页 共 35 页 图 3.2 新建仿真文件界面 三种文件对应的功能分别是: VHDL 文件:对要实现的逻辑控制功能进行文本语言描述,并配合软件生成相应的逻辑功能块。 Block 文件:对由 VHDL 生成的逻辑功能模块添加相应的输入输出管脚。 Vector Waveform 文件:对上一步的 Block 文件进行波形时序仿真验证结果。 3.2 ASK 调 制与解调系统的具体设计 根据上述对 ASK 调制系统的原理的研究,结合 VHDL 硬件描述语言的特点,对ASK 调制系统设计了以下模型如图 3.3: 时钟信号 控制信号 四分频 载波信号 基带信号 与门 调制信号 图 3.3 ASK 调制系统设计模型图 此调制系统采用系统时钟经四分频后作为调制信号载波,然后再与基带信号经过一个与门(作用相当于以基带信号乘以载波),再经过系统输出得到调制信号。 第 15 页 共 35 页 对 2ASK 的解调系统设计了以下模型: 控制信号 时钟信号 已调信号 二十周期计数器 信号寄存器 脉冲计数器及信号判决器 解调信号 图 3.4 ASK 解调系统设计模型图 此解调系统采用内部信号寄存输入的已调信号,再利用对时钟信号进行十二分频后的信号对寄存的信号脉冲进行计数,最后判决每次寄存的脉冲数,当脉冲数大于 3 时即判决为 1,反之则为 0,输出即为还原的基带信号。通过对上述 ASK 的调制与解调原理分析以及对基于 VHDL 的 ASK 调制与解调模型的建立,编写 VHDL 程序(见附录)。 3.3 基于 VHD L 的 ASK 调制系统仿真与分析 1.新建 ASK 调制 VHDL 文件输入 VHDL 代码如图 3.5 所示: 图 3.5 MAXPLUII 中的 VHDL 代码第 16 页 共 35 页 2.生成 ASK 调制功能块如图 3.6 所示: 图 3.6 ASK 调制功能原件图 如上图所示,生成的 ASK 调制模块由三个输入引脚和一个输出引脚组成,其中 clk 为时钟输入信号,start 为调制控制信号,x 为基带信号,y 调制输出信号。 3. 连接芯片的输入与输出及时钟信号和控制信号管脚,连接后如图 3.7 所示: 图 3.7 连接外部输入输出管脚 4. 对第三步生成的原理图进行编译和检查后如图 3.8 所示: 图 3.8 编译检查通过 第 17 页 共 35 页 5. 建立 ASK 调制功能模块的波形仿真文件,将输入输出 NODE 加入文件后如图3.9 所示: 图 3.9 加入管脚后的波形仿真界面 6.将基带信号输入并加入时钟信号,本次设计考虑到硬件条件的限制采用时钟频率为 1MHz 设置好以后如图 3.10 所示: 图 3.10 设置时钟周期 为了方便观察分析仿真结果采用的输入信号为:X:1010100110;以此计算得出仿真结束时间为 200us,设置仿真结束时间如图 3.11 所示: 图 3.11 设置仿真结束时间 将仿真时间及输入波形设置好以后如图 3.12 所示: 图 3.12 设置好输入波形及时钟后的界面 第 18 页 共 35 页 7. 将波形文件进仿真,仿真成功后的界面如图 3.13 所示: 图 3.13 仿真成功 8. 仿真的结果如图 3.14 所示: 图 3.14 仿真结果图 图 3.15 部分结果图放大 图 3.14 中时钟频率为 1MHz 即时钟周期为 1us,经四分频后 f 的频率为 250KHz,周期变为原来的四分之一,输入的基带信号为 1010100110,和分频信号 f 相乘后输出为调制信号 y,由上图可知道,每当输入的 x 为 1 时,y 对应为 f 的 5 个周期,x 为 0 时 y 的输出为 0,图中结果明显和预期结果一致!从而实现了 ASK 的调制。 3.4 基于 VHD L 的 ASK 解调系统仿真与分析 1 新建 ASK 调制 VHDL 文件,输入 VHDL 代码并生成 ASK 解调功能模块如图 3.16所示: 第 19 页 共 35 页 图 3.16 ASK 解调功能原件图 2. 对生成的 ASK 解调功能模块原件连接相应的输入输出管脚,连接好以后如图 3.17 所示: 图 3.17 连接输入输出管脚 3. 加入输入信号并设置时钟周期进行仿真,仿真结果如图 3.18 所示: 图 3.18 ASK 解调仿真结果 图 3.19 ASK 解调仿真结果图部分放大 第 20 页 共 35 页 本部分的输入信号为上一部分调制功能模块的输出信号即上图所示的 y 信号,用内部信号 xx 对输入信号进行信号采集与寄存,由于调制信号的频率为 250KHz,为了保证输入信号不会漏掉故在每次时钟信号上升沿的时候都对本部分的输入信号进行采集,而从上部分的调制信号分析可知当输入 x 为1或0时,对应的都是 20 个时钟周期,因此本部分输入信号采集周期也应为 20 个时钟周期。故设采集信号计数器 q=19。由上面的结果图分析可知,解调信号落后输入信号约 100us,即 5 个输入信号周期,这是因为每 20 个时钟周期都将计算输入信号的脉冲数并存入内部脉冲计数器 m,当 m=3 的时候则判定解调信号输出为1,否则为0。上图中的解调信号为101010011x 同输入信号 1010100110 进行对比可知解调信号和输入信号 x 基本相同,本模块仿真成功。 3.5 ASK 调 制解调联合对比 上述对 ASK 的调制与解调分别进行了单独的分析,符合预期,但分开的模块不方便进行直接的对比,故在此部分将对 ASK 的解调功能模块与解调功能模块进行整合,对输入信号 x 与调制信号 y 以及解调信号 xout 进行直接对比。 1. ASK 调制与解调模块连接,将两个模块放入同一个 BLOCK 文件,并将输入输出管脚进行连接,将调制模块输出的调制信号连接至解调模块并作为解调模块的输入信号。连接好以后如图 3.20 所示: 图 3.20 调制与解调功能模块连接 第 21 页 共 35 页 2. 生成调制解调双功能模块并连接输入输出管脚,连接好后如图 3.21 所示: 图 3.21 调制与解调双功能模块管脚连接 3. 设置时钟及输入信号,为了便于同单独的调制解调模块进行对比,本联合模块依然采用 1MHz 的时钟频率,且输入信号 x 为 1010100110,将以上都设置好以后进行仿真,仿真结果如图 3.22 所示: 图 3.22 联合仿真结果 从上图对比分析可知,输入信号为 1010100110,输入信号周期为 20us;调制信号为1010101010 0000000000101010101000000000001010101010 00000000001010101010101010101010 100000000000,调制信号周周期为 4us;解调信号为 xx101010011x;将输入信号与解调信号对比可知道两者基本一致,故将调制解调模块进行联合仿真是成功的,能够准确将输入的基带信号进行 ASK 调制,并且将调制后的信号输入解调模块能成功地将基带信号进行还原,本模块仿真成功。 第 22 页 共 35 页 3.6 本章总结 在本章主要介绍了基于 VHDL 的 ASK 调制与解调的系统建模,并在建模的基础上进行了相关功能的 VHDL 代码的编写;第二部分主要介绍了 VDHL 及 CPLD 的一些相关知识,以及本次研究工作的软件平台 MAXPLUSII 的一些相关操作;第三部分主要是对本次建立的系统模型,在 MAXPLUSII 平台上进行了相关的实现并进行简单的仿真与总结。 在对 ASK 调制模块与解调模块进行单独的分析后,将两模块连接起来进行联合仿真对比输入信号与解调信号及解调还原的基带信号。 第 23 页 共 35 页 【19】4 基于 VHDL 的 MASK 调制系统设计与仿真 4.1 多进制振幅调制 MASK,又称多进制数字调制法。在二进制数字调制中每个符号只能表示 0 和1(+1 或-1)。但在许多实际的数字传输系统中却往往采用多进制的数字调制方式。与二进制数字调制系统相比,多进制数字调制系统具有如下两个特点: 第一:在相同的信道码源调制中,每个符号可以携带 log2M 比特信息,因此,当信道频带受限时可以使信息传输率增加,提高了频带利用率。但由此付出的代价是增加信号功率和实现上的复杂性。 第二,在相同的信息速率下,由于多进制方式的信道传输速率可以比二进制的低,因而多进制信号码源的持续时间要比二进制的宽。加宽码元宽度,就会增加信号码元的能量,也能减小由于信道特性引起的码间干扰的影响等。近些年,采用高稳定自动增益,分集接收技术,自适应均衡等一系列措施,使其也可在微波中继线路中应用 。 4.2 基于 VHD L 的 MASK 调制系统实现 1.对 MASK 调制系统进行 VHDL 建模如图 4.1 所示 时钟信号 控制信号 8 分频 ASK 调制 D/A 转换 调制信号 基带信号 串/并转换 译码 图 4.1 MASK 的 VHDL 建模 系统的输入端由时钟信号 clk,调制控制信号 start 以及基带信号;由时钟信号经 8 分频完成对输入信号的串并转换,即将输入的一路二进制信号转为 4 位并行信号,再将 4 位并行信号转为 8 位 DAC 信号,最后再将时钟信号经过一个 4 分频器与 8 位 DAC 数据进行 ASK 的调制。最后将调制信号送给一个 D/A 转换芯片,芯片输出即为调制后的模拟信号。根据上述的 VHDL 建模,编写 VHDL 仿真程序如下(见附录)。第 24 页 共 35 页 2. 对输入的 VHDL 代码生成原件并连接相应管脚如图 4.2 所示: 图 4.2 MASK 功能模块连接好管脚 3.对模块进行波形仿真,仿真结果如图 4.3 所示: 在图 4.3 中,输入信号为 1011001010001010001000 输入信号周期为 160ns,系统时钟周期为 40ns,当调制控制信号 START 为真时,系统开始进行调制,时钟上升沿时系统完成基带信号的串并转换,系统内部信号寄存器 XX 对输入的基带信号进行进行四位并行数据到八位 DAC 数据的转换,当内部记数器 q=7 时完成基带信号的串并转换,当内部记数器 qq=1 时完成并行码到 DAC 数据的转换,输出 y 为系统时钟 qq 分频即四分频。 第 25 页 共 35 页 5 总结 本文对基于 VHDL 的二进制振幅(ASK)调制与解调做了一定的研究,由于软硬件的要求,选取了 CPLD 结合 VHDL 在 MAXPLUSII 软件平台上进行仿真研究以探究ASK 的调制波形是否与预期相符。考虑到实际硬件的要求,对此次仿真所采用的时钟周期为 1us 即时钟频率为 1MHz,而仿真结果与预期相同,即在 CPLD 上实现 ASK的调制功能是完全可行的。在对 ASK 的解调模块设计时,考虑到结果的可对比性,对解调时钟采用与调制信号相同的时钟频率,且将调制部分输出的调制信号作为解调部分的输入信号,对此信号进行解调,同时将解调信号与基带信号进行对比,以判断解调模块是否能按预期将基带信号还原。仿真结果符合预期,本次设计的 ASK调制与解调系统运行稳定,在 CPLD/FPGA 上实现 ASK 的解调也是完全可行的,本次对基于 VHDL 的 ASK 系统的调制与解调仿真获得了比较满意的成果。 在获得上述成功的前提下,对基于 MASK 的调制与解调又做了简单的研究,对MASK 进行 VHDL 建模,并对其进行 VHDL 描述,且对生成的功能模块进行仿真获得的结果与基本理论符合,仿真获得成功。 第 26 页 共 35 页 1 ASK 调制 V HDL 程序library ieee; 附 录 use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(c

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论