基于quartusⅱ的vhdl语言多功能数字钟_第1页
基于quartusⅱ的vhdl语言多功能数字钟_第2页
基于quartusⅱ的vhdl语言多功能数字钟_第3页
基于quartusⅱ的vhdl语言多功能数字钟_第4页
基于quartusⅱ的vhdl语言多功能数字钟_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于QUARTUS的VHDL语言多功能数字钟注任何人不得作为商业用途数字钟的功能1)以24小时制显示时、分、秒计数;2)时间清零,时设置,分设置功能;3)整点报时功能。实验环境1软件环境QUARTUSII722硬件环境MAXIIEPM240T100C51分频器1KHZ分频器VHDLLIBRARYIEEEUSEIEEESTD_LOGIC_1164ALLUSEIEEESTD_LOGIC_UNSIGNEDALLENTITYFPQ1KISPORTCLKINSTD_LOGICQ1KHZOUTSTD_LOGICENDARCHITECTUREBEHAVOFFPQ1KISBEGINS1PROCESSCLKVARIABLECOUNT2INTEGERRANGE0TO50000BEGINIFCLK1ANDCLKEVENTTHENCOUNT2COUNT21IFCOUNT225000THENQ1KHZ“101“THENCOUNTDAOUTDAOUT3DAOUTDAOUT3DAOUTDAOUT3DOWNTO2“00“DAOUT1DOWNTO0HOUR5DOWNTO4DP0“101”时选择“时的各位”计数值显示,点不亮ENDCASEENDPROCESSENDBEHAVE动态显示模块顶层设计原理图7显示译码模块显示译码模块顶层设计原理图8总顶层电路总顶层电路设计原理图总结在此次的数字钟设计过程中,最终结果与预期效果基本一致更进一步地了解和熟悉有关数字电路的知识和具体的应用。学会了利用QUARTUS硬件描述语言VHDL编写程序。并能根据仿真结果分析设计的存在的问题和缺陷,从而进行程序的调试和完善。此次的数字钟设计重点在于报时模块的代码编写。通过这次的设计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论