ALU的设计与实现_第1页
ALU的设计与实现_第2页
ALU的设计与实现_第3页
ALU的设计与实现_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.计算机组成原理实验实验四 ALU的设计与实现专业班级:计算机科学与技术学号: 0936008 姓名:冯帆学号: 0936036 姓名:张琪实验地点: 理工楼901 实验四 ALU的设计与实现一、实验目的 1、理解ALU的功能和其在处理器中的地位。2、掌握ALU的结构化(分模块、分层次)的设计方法。 3、掌握ALU的Verilog语言描述方法。二、实验内容1、学习ALU的设计方法。2、用Verilog语言采用行为描述的方法完成74181的逻辑设计。3、用Verilog语言采用结构描述的方法完成74181的逻辑设计。4、学习用宏模块的方法定制并调用 ALU 。三、实验仪器及设备:PC机+ Qua

2、rtus 9.0 + DE2-70四、实验步骤:1打开Quartus软件,新建工程,并新建一个verilog文件。2编写verilog程序。首先,查找74181的功能表,用always模块和case语句实现其功能。然后,设计ALU的过程中,利用p函数和g函数实现超前进位功能。3附程序代码如下:module ALU_74181 (input 3:0 a, input 3:0 b, input 3:0 s, /选择信号 input m, /m=1执行逻辑运算,反之执行算术运算 input cn, /低位的进位或者是高位的借位 output 3:0 f, output aeqb, /输出,当a=b时

3、输出1,当a!=b时,输出0 output c4, /对应74181的Cn+4 output p, /p函数 output g /g函数);reg 3:0 result; /定义中间变量result存放结果wire 4:0temp; /定义中间变量temp存放选择变量s和mwire p0,p1,p2,p3; /进位产生信号wire g0,g1,g2,g3; /进位传递信号assign temp=s,m; always(temp or a or b) begin case (temp) /case语句实现74181的 32种功能 5b00000: result=a; 5b00001: resul

4、t=!a; 5b00010: result=a|b; 5b00011: result=(!a|b); 5b00100: result=(a|!b); 5b00101: result=!a&b; 5b00110: result=4b1111;/补码运算,-1的补码是1111 5b00111: result=0; 5b01000: result=a+(a&!b); 5b01001: result=!(a&b); 5b01010: result=!b; 5b01011: result=(a|!b)+(a&!b); 5b01100: result=ab; 5b01101: result=a-b+4b1

5、111; 5b01110: result=a&(!(b+4b1111); 5b01111: result=a&!b; 5b10000: result=a+a&b; 5b10001: result=(!a)|b; 5b10010: result=a+b; 5b10011: result=!(ab); 5b10100: result=(a|!b)+a&b; 5b10101: result=b; 5b10110: result=a&b+4b1111; 5b10111: result=a&b; 5b11000: result=a+a; 5b11001: result=1; 5b11010: resul

6、t=(a|b)+a; 5b11011: result=(a|!b); 5b11100: result=(a|!b)+a; 5b11101: result=(a|b); 5b11110: result=a+4b1111; 5b11111: result=a; default : result = 4b0000; /默认情况,给result赋值为0 endcase endassign f=result; /将中间变量result的值赋给f/片与片之间的超前进位assign g0=a0&b0; /g函数是a与b的与assign g1=a1&b1;assign g2=a2&b0;assign g3=a

7、3&b0;assign p0=a0b0; /p函数是a和b的异或assign p1=a1b1;assign p2=a2b2;assign p3=a3b3;assign c4=g3|(g2&p3)|(g1&p2&p3)|(g0&p0&p1&p2)|(cn&p0&p1&p2&p3);/g3 + p3g2 + p3p2g1 + p3p2p1g0 + p3p2p1p0cnassign p=p0&p1&p2&p3; assign g=g3+g2&p3+g1&p2&p3+g0&p1&p2&p3;assign aeqb=(a=b)?1b1:1b0;endmodule4编译并仿真得出结果。仿真结果如下图:五、

8、实验思考。1. ALU的功能是什么,它在整机系统中的地位如何?答:ALU是多功能算数逻辑运算单元,不仅能进行多种算术运算和逻辑运算,如与、或、非、异或循环、移位、求补、清零、加、减、乘、除等,而且具有先行进位逻辑,从而能实现高速运算。ALU是CPU的核心部分,也是CPU的重要组成部分。一台计算机最主要的功能就是指向运算的功能,而ALU恰恰具有这样的功能,可以说多功能运算时据算计的灵魂,没有运算,计算机将不会具有如此强大的功能。2. ALU是典型的组合逻辑,为什么在P157的实现中要加入时钟信号,其目的是什么?答:处于整机同步的需要,这样可以保证ALU产生的结果能够适时的送到总线,以便数据进行正

9、确的读写。3. 74181 ALU内部加法运算用的是超前进位算法吗?答:是。74181的设计在内部的实现是通过超前进位,而后又利用了p函数和g函数实现了片与片之间的超前进位功能。4位之间采用先行进位公式,每一位的进位公式可递推如下:G = A and B P = A xor Bc1= g0 + p0c0c2= g1 + p1c1= g1 + p1(g0 + p0c0)= g1 + p1g0 + p1p0c0c3= g2 + p2c2= g2 + p2(g1 + p1g0 + p1p0c0)= g2 + p2g1 + p2p1g0 + p2p1p0c0c4= g3 + p3c3= g3 + p3(g2 + p2g1 + p2p1g0 +

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论