基于CPLD的频率计设计毕业设计论文_第1页
基于CPLD的频率计设计毕业设计论文_第2页
基于CPLD的频率计设计毕业设计论文_第3页
基于CPLD的频率计设计毕业设计论文_第4页
基于CPLD的频率计设计毕业设计论文_第5页
已阅读5页,还剩49页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、本科生毕业设计本科生毕业设计 基于基于 cpldcpld 的频率计设计的频率计设计 design of the frequency meter based on cpld 学学 生生 姓姓 名名 专专 业业 学学 号号 指指 导导 教教 师师 学学 院院 毕业设计(论文)原创承诺书毕业设计(论文)原创承诺书 1本人承诺:所呈交的毕业设计(论文) 基于 cpld 的频率计 设计 ,是认真学习理解学校的长春理工大学本科毕业设计(论文) 工作条例后,在教师的指导下,保质保量独立地完成了任务书中 规定的内容,不弄虚作假,不抄袭别人的工作内容。 2本人在毕业设计(论文)中引用他人的观点和研究成果,均 在

2、文中加以注释或以参考文献形式列出,对本文的研究工作做出重 要贡献的个人和集体均已在文中注明。 3在毕业设计(论文)中对侵犯任何方面知识产权的行为,由 本人承担相应的法律责任。 4本人完全了解学校关于保存、使用毕业设计(论文)的规定, 即:按照学校要求提交论文和相关材料的印刷本和电子版本;同意 学校保留毕业设计(论文)的复印件和电子版本,允许被查阅和借 阅;学校可以采用影印、缩印或其他复制手段保存毕业设计(论文) , 可以公布其中的全部或部分内容。 以上承诺的法律结果将完全由本人承担! 作 者 签 名: 年 月 日 摘摘 要要 频率测量是电子测量领域最基本也是最重要的测量之一。但基于传统测频 原

3、理的频率计在测频时测量精度将随被测信号频率的下降而降低,在实用中有 较大的局限性。因此,本文提出了一种基于 cpld 的数字频率计的设计方法。 该设计电路简洁,软件潜力得到充分挖掘,低频段测量精度高,有效防止了干 扰的侵入,把 cpld 具有的编程灵活,适用范围宽,价格大众化等优点用于实 现频率计的设计。该频率计采用先进的 eda 技术及自上而下的设计,使用流行 的 vhdl 语言编程,并在 max+plusii 软件平台上进行编译仿真。经过硬件调试 和软件仿真后结果证明此设计方案符合毕设要求和技术参数。 关键词:频率计 eda 技术 cpld abstract frequency measu

4、rement is the most basic electronic and also one of the most important measure in the measurement field. but the accuracy of frequency meter which is based on the traditional principle will vary depending on the measured signal frequency and the lower, has great limitations in the practical in frequ

5、ency measurement. therefore, this article puts forward a design method of digital frequency meter based on cpld. the advantage such as the cpld programming flexibility, wide applicable scope, and the popular price etc, are used to implement the frequency meter design by the simple circuit design, th

6、e fully excavate of software potential, the precision in low frequency measurement, and the effectively prevent of the invasion of the interference. the frequency meter design which is from top to bottom adopts the advanced eda technology and popular vhdl language programming, and compiling on max +

7、 plusii software platform simulation. key words: frequency meter; eda; cpld 目目 录录 摘 要.i abstract.ii 第 1 章 绪论.1 1.1 背景 .1 1.2 频率计设计的目的和意义 .1 1.3 论文所做的工作及研究内容 .2 第 2 章 设计环境介绍.3 2.1 eda 技术的发展及 vhdl 简介.3 2.1.1 eda 技术的发展.3 2.1.2 vhdl 简介.3 2.1.3 cpld 器件及其特点.4 2.2 基于 eda 的 cpld/fpga 设计流程 .4 2.2.1 设计输入 .4 2

8、.2.2 综合 .5 2.2.3 适配 .5 2.2.4 时序仿真与功能仿真 .5 2.2.5 编程下载 .5 2.2.6 硬件测试 .5 2.3 max+plus开发工具 .6 2.3.1 max+plus开发系统的特点 .6 2.3.2 max+plus的功能 .6 2.3.3 max+plus的设计过程 .6 第 3 章 频率计的设计原理及方案.8 3.1 频率计的设计原理 .8 3.1.1 直接测频法原理 .9 3.1.2 等精度测频法原理 .9 3.2 频率计的设计方案 .10 3.2.1 基于直接测频法的设计方案 .10 3.2.2 基于等精度测频法的设计方案 .11 第 4 章

9、频率计硬件与软件.14 4.1 频率计硬件 .14 4.1.1 电源部分 .14 4.1.2 整形部分 .15 4.1.3 cpld 芯片.15 4.1.4 显示部分 .16 4.1.5 键盘部分 .17 4.2 频率计软件 .18 4.2.1 分频器模块 .18 4.2.2 闸门定时模块 .19 4.2.3 测频控制信号发生器模块 .20 4.2.4 计数器模块 .22 4.2.5 锁存器模块 .23 4.2.6 显示模块 .24 第 5 章 调试.25 5.1 硬件调试 .25 5.1.1 静态调试 .25 5.1.2 连机仿真、在线动态调试 .25 5.2 软件调试 .26 参考文献.2

10、8 致 谢.29 附录 1 设计源程序 .30 直接测频法.30 等精度测频法.33 附录 2 电路图 .44 基于 cpld 的频率计顶层电路设计图(1)-直接测频法.44 基于 cpld 的频率计顶层电路设计图(2)-等精度测频法.45 基于 cpld 的频率计硬件电路设计图(3)-直接测频法.46 基于 cpld 的频率计硬件电路设计图(4)-等精度测频法.47 第第1章章 绪论绪论 1.1 背景背景 20世纪后期,随着信息技术、电子技术的飞速发展,现代电子产品几乎渗 透了社会的各个领域,有力地推动了社会信息化程度的大大提高和社会生产力 的发展。 微电子技术的进步使集成电路设计在不断地向

11、超大规模、极低功耗和超高 速的方向发展,在功能上,现代集成电路已能实现单片电子系统soc(system on chip)的功能。 进入九十年代后,复杂可编程逻辑器件(complex programmable logic device)已经成为asic的主流产品,在整个asic市场占有了较大的份额。它们 一般具有可重编程特性,实现的工艺有eprom技术、闪烁eprom技术和 eprom技术,可用固定长度的金属线实现逻辑单元之间的互连。这种连续式结 构能够方便地预测设计的时序,同时保证了cpld的高速性能。cpld的集成度 一般可达数千甚至数万门,能够实现较大规模的电路集成。 电子设计自动化(el

12、ectronics design automation,eda)技术是一种以计 算机为工作平台,以eda软件工具为开发环境,以硬件描述语言和电路图描述 为设计入口,以可编程逻辑器件为实验载体,以asic(application specific integrated circuit)、soc(system on chip)和sopc(system on programmable chip)嵌入式系统为设计目标,以数字系统设计为应用方向的电子产品自动化 设计技术。eda技术在硬件实现方面融合了大规模集成电路制造技术、ic版图 设计技术、asic测试和封装技术、fpga/cpld编程下载技术、自动

13、测试技术 等;在计算机辅助工程方面融合了计算机辅助设计(cad)、计算机辅助制造 (cam)、计算机辅助测试(cat)、计算机辅助工程(cae)技术以及多种 计算机语言的设计概念,而在现代电子学方面则容纳了如电子线路设计理论、 数字信号处理技术、数字系统建模和优化技术及长线技术理论等等,因此eda 技术是现代电子系统计、制造不可缺少的技术。 1.2 频率计设计的目的和意义频率计设计的目的和意义 毫无疑问,无论是在科技研究还是在实际应用中,频率测量都是最基本的 测量且其作用都显得尤为重要。随着微电子科技的发展,对测频技术的要求也 越爱越高。频率计又称为频率计数器,是一种专门对被测信号频率进行测量

14、的 电子测量仪器。在传统的生产制造业中,频率计被广泛的应用在产线的生产测 试中以确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的 本地振荡器进行校准。在无线通讯测试中,频率计即可以用来对无线通讯基站 的主时钟进行校准,还可以用来对无线电台的跳帧信号进行分析。 传统的频率计通常采用组合电路和时序电路等大量的硬件电路构成,产品 不但体积大,运行速度慢,而且测量低频信号时不宜直接使用。在传统的控制 系统中,通常将单片机作为控制核心并辅以相应的元器件构成一个整体。但这 种方法硬件连线复杂、可靠性差,且在实际应用中往往需要外加扩展芯片,这 无疑会增大控制系统的体积,还会增加引入干扰的可能性

15、。对一些体积小的控 制系统,要求以尽可能小的器件体积实现尽可能复杂的控制功能,直接应用单 片机及其扩展芯片就难以达到所期望的效果。 复杂可编程逻辑器件(cpld)具有集成度高、运算速度快、开发周期短等 特点,基于 cpld 的数字频率计的设计电路简洁,软件潜力得到充分挖掘,低 频段测量精度高,有效防止了干扰的侵入。其独到之处体现在用软件取代了硬 件。 基于cpld设计的频率计,在传统意义设计上实现了一些突破。 1、用单元电路或单片机技术设计的频率计电路复杂、稳定性差。采用 cpld就能够克服这一点,它可以把具有控制功能的各个模块程序下载在一块芯 片上。这一块芯片就能代替原来的许许多多的单元电路

16、或单片机的控制芯片和 大量的外围电路。大大的简化了电路结构,提高了电路稳定性。 2、以往的频率计测量范围都是有限的,为测量不同频率的信号都要专门的 设计某一部分电路,这样很麻烦。而基于 cpld 设计的频率计可以通过修改 vhdl 语言程序来达到改变测量范围的目的。 1.3 论文所做的工作及研究内容论文所做的工作及研究内容 随着eda技术的发展和可编程逻辑器件的广泛使用,传统的自下而上的数 字电路设计方法、工具、器件已远远落后于当今技术的发展。 本设计主要论述了利用 cpld 实现多功能频率计的过程,使得频率计具有 了测量精度高、功能丰富、控制灵活等特点。该频率计按照直接测频法、等精 度测频法

17、的原理,克服了传统技术中测频精度随被测信号频率下降而下降的缺 点。两种测量方法测量均具有较高的测量精度。 本设计主要工作包括以下几项内容: 1、简述了当今频率计的发展情况,对几种常用的测频方法进行了介绍和对 比。 2、在 cpld 基础上分别采用直接测频法、等精度测频法来实现对频率的测 量。 3、完成了基于 eda 平台 max+plusii 的 cpld 的软件电路设计,并且编译 调试。 4、利用 cpld 芯片完成了硬件电路设计及下载、调试。 第第 2 章章 设计环境介绍设计环境介绍 本设计采用vhdl硬件描述语言及原理图设计模块作为设计输入,内部有 强大的库支持,在电子设计的各个阶段、各

18、个层次通过计算机模拟仿真验证。 2.1 eda 技术的发展及技术的发展及 vhdl 简介简介 2.1.1 eda 技术的发展技术的发展 eda 技术伴随着计算机、集成电路。电子系统设计的发展,经历了计算机 辅助设计(computer assist design,cad) 、计算机辅助工程设计(computer assist engineering design,cae)和电子设计自动化(electronics design automation,eda)三个发展阶段。 eda技术在进入21世纪以后,得到了更大的发展,突出表现在以下几个方 面: 1、电子设计成果以自主知识产权的方式得以明确表达和

19、确认成为可能。 2、在方针和设计两方面支持标准硬件描述语言的功能强大的eda软件不断 推出。 3、eda技术使得电子领域各学科的界限更加模糊,更加互为包容:模拟与 数字、软件与硬件、系统与器件、asic与gpga、行为与结构等。 4、更大规模的fpga和cpld器件的不断推出。 5、基于 eda 工具的 asic 设计标准单元以涵盖了大规模电子系统及 ip 核 模块。软硬件 ip 核在电子行业的产业领域、技术领域和设计应用领域得到进一 步确认。 2.1.2 vhdl 简介简介 vhdl(very high speed integrated circuit hardware descriptio

20、n language, 超高速集成电路硬件描述语言)是在 20 世纪 80 年代后期,由美国国防部开发 的一种快速设计电路的工具,目前已经成为 ieee 的一种工业标准硬件描述语 言。相比传统的电路系统设计方法,vhdl 具有多层次描述系统硬件功能的能 力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。 从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用 vhdl 对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证, 最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的 cpld 器件中去,从而实现可编程的专用集成电路(asic)

21、的设计。 vhdl 语言覆盖面广、描述能力强,能支持硬件的设计、验证、综合和测 试,是一种多层次的硬件描述语言。 一个vhdl设计由若干个vhdl文件构成,每个文件主要包含如下三个部分 中的一个或全部: 1、程序包(package); 2、实体(entity); 3、结构体(architecture)。 一个完整的vhdl设计必须包含一个实体和一个与之对应的结构体。一个 实体可对应多个结构体,以说明采用不同方法来描述电路。 2.1.3 cpld 器件及其特点器件及其特点 cpld器件继承了asic的大规模、高集成度、高可靠性的优点,又克服 了asic设计周期长、投资大、灵活性差的缺点,逐步成为

22、复杂数字软硬件电 路设计的理想首选,它 具有编程灵活、集成度高、设计开发周期短、适用范 围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准 产品无需测试、保密性强、价格大众化 、可编程性和实现方案容易改 等特 点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品 生产(一般在10000件以下)之中。几乎所有应用中小规模通用数字集成电 路的场合均可应用 cpld器件。cpld器件已成为电子产品不可缺少的组成 部分,它的设计和应用成为电子工程师必备的一种技能。 cpld器件内部采用自顶向下的方法:首先定义好系统高层次的功能,然后 按照要求对系统进行分解,分解出的每个子

23、系统具有相应的功能,对这些子系 统仍然可以继续分解,直到分解为许多基本逻辑模块,从顶层到底层的设计层 次清楚。底层各功能模块采用原理图输入方式,过程简单,另外的优点是各模 块均可进行功能仿真,便于发现错误和进行修改。 cpld 也是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。 其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法, 生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯 片中,实现设计的数字系统。 2.2 基于基于 eda 的的 cpld/fpga 设计流程设计流程 一个完整的、典型的 eda 设计流程既是自顶向下设计方法的具体实施途径,

24、也是 eda 工具软件本身的组成结构。基于 eda 的 cpld/fpga 设计流程包括: 2.2.1 设计输入设计输入 1)图形输入 图形输入通常包括原理图输入、状态图输入和波形图输入等方法。 原理图输入方法类似于传统电子设计方法的原理图编辑输入方式,即在 eda 软件的图形编辑界面上绘制能完成特定功能的电路原理图。原理图由逻辑 器件(符号)和连接线构成,图中的逻辑器件可以是 eda 软件库中预制的功能 模块,如与门、或门、非门、触发器以及各种含 74 系列器件功能的宏功能块, 甚至还有一些类似于 ip 的功能块。 状态图输入方法就是根据电路的控制条件和不同的转换方式,用绘图的方 法,在ed

25、a工具的状态图编辑器上绘制出状态图,然后由eda编译器和综合器 将此状态变化流程图形编译综合成电路网表。 波形图输入方法主要用于建立和编辑波形设计文件以及输入仿真向量和功 能测试向量。波形设计输入适合用于时序逻辑和有重复性的逻辑函数,系统软 件可以根据用户定义的输入/输出波形自动生成逻辑关系。 2)文本输入 文本输入是采用硬件描述语言进行电路设计的方式。这种方式与传统的计 算机软件语言编辑输入基本一致。就是将使用了某种硬件描述语言(hdl)的 电路设计文本,如vhdl或verilog的源程序,进行编辑输入。 2.2.2 综合综合 综合就是把某些东西结合到一起,把设计抽象层次中的一种表述转化为另

26、 一种表述的过程。整个综合过程就是将设计者在eda平台上编辑输入的hdl文 本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编 译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。 由此可见,综合器工作前,必须给定最后实现的硬件结构参数,它的功能就是 将软件描述与给定的硬件结构用某种网表文件的方式对应起来,成为相应的映 射关系。 2.2.3 适配适配 适配器也称为结构综合器,它的功能是将由综合器产生的网表文件配置于 指定的目标器件中,使之产生最终的下载文件,如jedec、jam格式的文件。适 配所选定的目标器件(fpga/cpld芯片)必须属于原综合器指定的目

27、标器件系 列。适配综合通过后,必须利用适配器将综合后网表文件针对某一具体的目标 器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑 布局布线操作。适配完成后可以利用适配所产生的仿真文件作精确的时序仿真, 同时产生可用于编程的文件。 2.2.4 时序仿真与功能仿真时序仿真与功能仿真 在编程下载前必须利用eda工具对适配生成的结果进行模拟测试,就是所 谓的仿真。仿真就是让计算机根据一定的算法和一定的仿真库对cpld设计进行 模拟,以验证设计,排除错误。 2.2.5 编程下载编程下载 把适配后生成的下载或配置文件,通过编程器或编程电缆向fpga或cpld 进行下载,以便进行硬件调试

28、和验证(hardware debugging)。通常,将对 cpld的下载称为编程(program),对fpga中的sram进行直接下载的方式称 为配置(configure)。 2.2.6 硬件测试硬件测试 最后是将含有载入了设计的fpga或cpld的硬件系统进行统一的测试,以 便最终验证设计项目在目标系统上的实际工作情况,以排除错误,完成设计 2.3 max+plus开发工具开发工具 2.3.1 max+plus开发系统的特点开发系统的特点 max+plus是美国 altera 公司提供的 fpga/cpld 开发集成坏境,其全称 为 multiple array matrix and pr

29、ogrammable logic user system。max+plus界 面友好、使用便捷,被誉为业界最易用易学的 eda 软件。在 max+plus上可 以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提 供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理 和器件编程。 altera 的 max+plus开发系统是一种全集成的可编程逻辑设计环境,能满 足各种各样的设计要求。其特点有以下几点: 开放的界面 与结构无关 完全集成化 丰富的设计库 模块化工具 硬件描述语言 opencore 特征 2.3.2 max+plus的功能的功能 max+plus支持

30、 flex、max 及 classic 等系列 eda 器件,设计者无须精 通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如高级行为语言、 原理图或波形图进行设计输入,它便将这些设计转换成目标结构所要求的格式, 从而简化了设计过程。而且 max+plus提供了丰富的逻辑功能库供设计者使用。 设计者利用以上这些库及自己添加的宏功能模块,可大大减轻设计的工作量., 减轻了设计者的工作负担,使设计者可以快速完成所需的设计。使用该软件, 用户从开始设计逻辑到完成器件下载编程一般只需数个小时时间,其中设计的 编辑时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修 改,直至最终设计定型

31、。 max+plusii 支持的设计输入方式主要有 4 种:图形输入(gdf 文件)、 ahdl 语言(altera 公司自定义的 hdl)、veriloghdl 以及 vhdl。还有其 他常用的 eda 工具产生的输入文件,如 edif 文件;floorplan 编辑器(低层编 辑程序),可方便进行管脚锁定,逻辑单元分配;层次化设计管理;lpm(可 调参数模块)。max+plusii 支持的设计校验:时序分析、功能仿真、时序仿真、 波形分析/模拟器、生成一些标准文件为其他 eda 工具使用。 2.3.3 max+plus的设计过程的设计过程 max+plus软件设计的流程应包括 5 个部分。

32、 设计输入功能仿真 设计修改 编程校验项目编译验证功能 图 2-1 设计流程图 1、设计输入:可以采用原理图输入、hdl 语言描述、edif 网表读入及波 形输入等方式。 2、功能仿真:此时为零延时模式,主要为检验输入是否有误。 3、项目编译:主要完成器件的选择及配置,逻辑的综合及器件的装入,延 时信息的提取。 4、验证仿真:将编译产生的延时信息加入到设计中,进行布局后的仿真, 是与实际器件工作时情况基本相同的仿真。 5、编程校验:用验证仿真确认的配置文件经 eprom 或编程电缆配置可编 程器件,加入实际激励,进行测试,以检查是否完成预定功能。 以上各步如果出现错误的现象,则需重新回到设计输

33、入阶段,改正错误输 入或调整电路后重复上述过程。 第第 3 章章 频率计的设计原理及方案频率计的设计原理及方案 3.1 频率计的设计原理频率计的设计原理 传统的数字电子系统或 ic 设计中,手工设计占了较大的比例。一般先按电 子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺 图进行手工逻辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择 元器件,设计电路板,最后进行实测与调试。传统的手工设计发展而来的自底 向上的设计方法,在进行手式电路设计时,一个硬件系统的实现过程是从选择 具体的元器件开始的。这些传统数字系统的设计主要基于标准逻辑器件,如 til 系列、cmos 系

34、列,采用“bottomup”(自底向上)的方法构成系统。 这种“试凑法”设计无固定套路可寻,主要凭借设计者的经验,所设计的数字 系统虽然不乏构思巧妙者,但往往要用很多标准器件。 随着集成电路发展,自底向上的设计方法已逐步被现代的自顶向下的设计 方法所取代。所谓自顶向下的设计,就是设计者首先从整体上规划整个系统的 功能和性能,然后对系统进行划分,分解为规模较小、功能较为简单的局部模 块,并确立它们之间的相互关系,这种划分过程可以不断地进行下去,直到划 分得到的单元可以映射到物理实现。 自顶向下的设计方法流程图如下: 用系统行为描述一个包含输入输出的顶层模 块,同时完成整个系统的模拟与性能分析 将

35、系统划分为各个功能模块,每个模块由更 细化的行为描述表达 由cpld综合工具完成工艺的映射 图3-1自顶向下的设计方法流程图 频率计是能够测量和显示信号频率的电路。所谓频率,就是周期性信号在 单位时间(1 s)内变化的次数。 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。常 用的测频方法有两种,一种是测周期法,一种是测频率法。测周期法需要有基 准时钟频率 fs,在待测信号一个周期 tx 内,记录基准系统时钟频率的周期数 ns,则被测频率可表示为: (3-1) ns fs fx 测频率法就是在一定时间间隔 tw(该时间定义为闸门时间)内,测得这个 周期性信号的重复交换次数为 nx

36、,则其频率可表示为: (3-2) tw nx fx 这两种方法的计数值会产生正负一个字的误差,并且被测精度与计数器中 记录的数值nx有关,为保证测试精度,一般对于低频信号采用测周期法,对于 高频信号采用测频率法。 3.1.1 直接测频法原理直接测频法原理 直接测频法是在给定的闸门时间内,通过测量一定时间内通过的周期信号 进行重复计数,再利用一定的转换方法计算出被测信号的频率。被测信号的频 率和闸门时间的设置都会对测量精度有影响。直接测频法控制波形图如下: t n 闸门信号 标准信号 被测信号 图 3-2 直接测频法时序控制波形图 直接测频法的一般思路是:在精确规定计数允许周期 t 内,计数器对

37、被测 信号的周期(脉冲)数进行计数,计数允许周期 t 的长度决定了被测信号频率 的范围。较长的计数允许周期 t 对低频信号而言有利于改善测量精度,但对于 高频信号来说,则会产生溢出;较短的计数允许周期 t 对低频信号的测量,虽 然精度降低,但能测量的最大频率较高,且不会溢出。因此本设计为提高测频 精度,加入 4 个量程档位。1 档为 0hz9999hz,2 档为 10hz99.99khz,3 档为 100hz999.9khz,4 档为 1000hz9.999mhz,并且具有超量程提示功能, 在超出目前量程档次时报警。 3.1.2 等精度测频法原理等精度测频法原理 等精度测频法是在计数器测频法的

38、基础上发展来的,频率为 fx 的被测信号 经过通道滤波、放大、整形后输入到同步门控制电路和闸门 1,晶体振荡器的 输出信号作为标准输入到闸门 2.被测信号在同步控制门的作用下,产生一个与 被测信号同步的闸门信号。在同步门打开时通过同步门分别输入到事件计数器 和时间计数器的信号输入端,计数器开始计数。同步门关闭时信号不能通过主 门,计数器停止计数,单片机发出命令读入计数器的数值,并进行数据处理, 将处理后的结果送显示。 图 3-3 等精度测频法时序控制波形图 此种测频可获得较高的测量精度,测频范围是 0hz40mhz,测频范围广。 并且具有超量程报警功能。 3.2 频率计的设计方案频率计的设计方

39、案 本设计的核心部件是cpld芯片,所有信号包括基准频率信号,被测信号均 送到cpld芯片中。 3.2.1 基于直接测频法的设计方案基于直接测频法的设计方案 基于直接测频法设计的系统包含以下模块:分频器模块、闸门定时信号模 块、测频控制信号发生器模块、4 个有时钟使能的十进制计数器模块、4 个锁存 器模块、显示模块。 被测信号 放大整形 计数器 有源晶振 bygy-eda试验箱 4mhz 分频器 闸门定时信号控制器 测频控制信号发生器 锁存器 显示模块 共阴极led数码管 报警设备 电源部分 cpld芯片 图3-4 采用直接测频法的数字频率计设计 频率测量的基本原理是计算每秒钟内待测信号的脉冲

40、个数。测频的过程是 将试验箱上的 4mhz 的频率经分频器变成 1khz 的时钟信号,再经过闸门定时 信号控制器再分频,得到 4 种不同的闸门控制信号,分别是 1hz、10hz、100hz、1000hz 四种,从而可以测出 09.999mhz 内的高频、低 频信号。并且具有超量程提示功能,在超出目前量程档次时报警。 以产生 1hz 的闸门信号为例,经过测频控制信号发生器,计数使能信号能 产生一个 1 s 脉宽的周期信号,并对频率计的每一个计数器的使能端进行同步 控制。当使能信号为高电平时允许计数,为低电平时停止计数,并保持其所计 脉冲个数。在停止计数期间,首先需要一个锁存信号的上跳沿将计数器在

41、前 1 s 的计数值锁存进数据锁存器中,并由外部的 7 段译码器译出,并稳定显示。 锁存信号之后,必须有一个清零信号对计数器进行清零,为下 1 s 的技术操作 做准备。 其中控制信号频率始终为 1 hz ,那么使能信号的脉宽正好为 1 s,可以用 作技术闸门信号。然后根据测频的时序要求,可得出逻辑信号和清零信号的逻 辑描述。计数完成后,利用技术使能信号反向值的上跳沿产生一个锁存信号。 0.5 s 后,清零信号产生一个清零信号上跳沿。计数器的特殊之处是,有一时钟 使能输入端 ena,用于锁存计数值。当高电平时计数允许,低电平时计数禁止。 锁存器的设计要求为若已有 4 位 b c d 码存于此模块

42、的输入口,在锁存信号的 上跳沿后即被锁存到寄存器内部,并由寄存器的输出端输出,然后有实验箱上 7 段译码器译成能在数码管上显示输出的相应数值。 具体各模块的作用是: 分频器模块:将试验箱上的 4mhz 的时钟信号变成 1khz 的信号。 闸门定时信号模块:将输入的 1khz,产生 4 种不同的闸门信号,为控制 信号发生器提供 4 种不同的频率信号:1kh、100hz、10hz、1hz。 测频控制信号发生器模块:每次测量时,用由时基标准信号产生的闸门信 号启动计数器,对输入脉冲信号计数,闸门信号结束即将计数结果送入锁存器, 然后计数器清零,准备下一次计数。 十进制计数器模块:从测频原理的介绍中可

43、以看出,测频的本质就是计数, 所以计数器也是系统中不可或缺的模块。 锁存器模块:锁存计数器数值。其好处是使显示数据稳定,不会由于周期 性的清零信号而不断闪烁。锁存器的位数跟计数器的位数一致。 显示模块:控制共阴极数码管显示、输出。 3.2.2 基于等精度测频法的设计方案基于等精度测频法的设计方案 基于等精度测频法设计的测频系统包括以下模块:校正模块、d触发器模 块、分频器模块、四位除法器模块、两个十进制计数器模块、乘法器模块、高、 低位转换模块、显示模块。 放大整形 有源晶振 bygy-eda试验箱 4mhz 分频器 校正模块 cnt10-2 cnt10-1 d触发器 除法器 乘法器 高低位转

44、换模块 显示模块 共阴极 led数码 管 报警设备 报警设备 电源部分 cpld芯片 图3-5 采用等精度测频法的数字频率计设计 频率测量的原理是:设 cnt10-1 和 cnt10-2 是两个可控十进制计数器。 标准频率信号从 cnt10-1 的时钟输入端 clk 输入,其频率为 fs,被测频率信 号从 cnt10-2 的时钟输入端 clk 输入,其频率为 fx。当预置门控信号为高电 平时,被测信号的上升沿通过触发器 d 的 q 端同时启动计数器 cnt10-1 和 cnt10-2。cnt10-1 和 cnt10-2 分别对标准信号 fs 和被测信号 fx 同时计数。 当预置门信号为低电平时

45、,随后而至的被测信号的上升沿将使两个计数器同时 关闭。设在一次预置门电路 t 内对被测信号计数为 nx,对标准信号计数为 ns,则下式成立: (3- ns fs nx fx 3) 由此推得: (3-ns ns fs fx* 4) 若所测频率为 fx,其真实值 fxe,标准频率为 fs,一次测量中,由于 fx 计数的起停都是由该信号的上跳沿触发的,因此在 t 内对 fx 的计数 nx 无误差, 在此时间内的计数 ns 最多相差一个脉冲,即et1,则下式成立 (3-5 ns fs nx fx ) (3-6 etns fs nx fxe ) 可分别推得 (3-7 ns fs nx fx ) (3-8

46、nx etns fs fxe* ) 根据相对误差公式有 (3- fxe fxfxe fxe fxe 9) 经整理得到 (3-10 ns et fxe fxe ) 因et1,故1/n,即 n et (3- nfxe fxe1 11) (3-fstns* 12) 据以上分析,可知等精度测频法具有以下三个特点:1、相对测量误差与被 测频率高低无关;2、增大t或f可以增大n,减少测量误差,提高测量精度; 3、测量精度与预置门宽度和标准频率有关,与被测信号的频率无关,在预置门 和常规测频阀门时间相同而被测信号频率不同的情况下,等精度测量法的测量 精度不变。 具体的各模块的作用是: 校正模块:当输入被测信

47、号为标准信号时,标准信号的频率就会在数码管 上显示出来,可以更加有效的验证频率计设计的可靠性。当输入非标准信号时, 可进行正常的频率测量。 分频器模块:可将标准信号分成任意所需的合适的信号,来进行适当的测 量。 d触发器:利用d触发器来进行适当的闸门信号控制。 计数器模块:对被测信号和标准信号来进行计数控制。 除法器模块:对计数器值进行除法计算。 乘法器模块:对除法器的计算值与标准信号值进行乘法计算,即得被测信 号值。 高、低位转换模块:将16位按高、低位将其转换成4个4位二进制数据,便 于输出显示。 显示模块:控制共阴极数码管显示、输出。 第第4章章 频率计硬件与软件频率计硬件与软件 4.1

48、 频率计硬件频率计硬件 利用cpld芯片,等精度频率计的系统硬件框图如图4.1.1所示。主要由单片 机控制电路、cpld测频电路、显示电路、键盘输入电路、信号整形电路等组成。 cpld epm7128 单片机 at89c51 显示电路 键盘输入 时钟输入 标准频率 信号 整形电路 自校输入 图4-1 频率计硬件电路系统框图 4.1.1 电源部分电源部分 本设计采用5v电源电压为其供电。整个电路的供电电源如图所示,交流电 经变压、滤波后,由一片78l05将输出电压稳压在+5v。 +in +out gnd +5v 火线 fuse 1a 220v 零线 9v + 4700u/25v 0.47uf0.

49、1uf + 4700u/16v 7805 in4007 图4-2 电源部分设计电路图 4.1.2 整形部分整形部分 图为输入信号整形电路。被测信号经限幅电路(由两片1n4148组成)限幅 后,由两级直接耦合放大器放大,最后再由施密特触发器(4093)整形,送入 cpld芯片进行测频。该电路r、c参数根据实际所测信号的带宽确定,如频率 较高大于70mhz,则电路和pcb布线都需作较大改动。因测频范围在0至 9.999mhz之间,所以不需要较大改动即可满足要求。 1 2 3 u1a 4093 d2 1n4148 d1 1n4148 c6 10uf c4 10uf c1 10uf c3 10uf c

50、2 10uf c5 10uf r1 1k r8 1k v1 3dg13c v2 3dg13c r3 1k r2 1k r7 1k r5 1k r4 1k 信信信信信信 信信信信 +5v 图4-3 整形部分设计电路图 4.1.3 cpld芯片芯片 epm7128slc84-15是altera公司的max7000s系列cpld芯片,它采用 cmos工艺并以第二代矩阵结构为基础,实际上也是基于高性能eeprom结构 的cpld芯片,完全符合ieee 1149.1 jtag 边界扫描标准。 epm7128slc84-15有84个引脚(如图4-3所示),其中5根用于isp( in system prog

51、rammable)下载,可方便地对其进行系统编程。此器件内集成了 6000个门,其中典型可用门为2500个;有8个逻辑阵列块,有128个宏单元,每 个宏单元都有独立的可编程电源控制,最多可以节省50%的功耗,宏单元内的 寄存器具有单独的时钟和复位等信号;有60个可用i/o口,可单独配置为输入、 输出及双向工作方式;有2个全局时钟及一个全局使能端和一个全局清除端。 epm7128slc84-15支持多种电压口,具有最小5ns 的引脚到引脚的逻辑时延, 最高可测175.4mhz 的计数频率,并支持多种编程方式,同时可利用altera 公 司的第三代开发软件max+plusii方便地进行仿真、综合和

52、下载。 epm7128slc84-15是硬件电路的核心芯片本次设计中的分频器模块、闸门 定时信号模块、十进制计数模块、锁存器模块、显示模块的vhdl程序或者原 理符号图都要下载到epm7128slc84-15中进行调试。 4-4 epm7128slc84-15 器件引脚图 4.1.4 显示部分显示部分 本设计采用七位led数码显示管完成显示任务,显示部分如图4.1.5所示, 其采用串行接口静态显示方式。 移位寄存器74ls164的两个输入端a和b与cpld的数据输出口相接,时钟信 号clk与同步移位脉冲输出口相接。前一个移位寄存器的输出端也与下一个移 位寄存器的输入端a、b 相连,这样首尾相连

53、,直到传送4位显示数为止。当显 示完以后,先送出的数显示在最右边一位,最后送出的数显示在最左边一位。 这种显示占用机时少,亮度大,显示可靠稳定。使用74ls164驱动led静态显示, 其方法简单,显示效果好,并且占用的的i/o口少(只要2个),且74ls164芯片 很便宜,成本很低,所以在实际中经常使用。一般来说,用这种方法可以扩展 很多个led块,显示很多位数字。 1 2 3 4 5 6 7 a b c d e f g 8 dp 9 gn d a bf c g d e dp 8se g-l ed1 sh uma _yin 1 2 3 4 5 6 7 a b c d e f g 8 dp 9

54、gn d a bf c g d e dp 8se g-l ed2 sh uma _yin 1 2 3 4 5 6 7 a b c d e f g 8 dp 9 gn d a bf c g d e dp 8se g-l ed3 sh uma _yin 1 2 3 4 5 6 7 a b c d e f g 8 dp 9 gn d a bf c g d e dp 8se g-l ed4 sh uma _yin cl k a 1 b 2 qa 3 qb 4 qc 5 qd 6 cl k 8 cl r 9 qe 10 qf 11 qg 12 qh 13 u5 74l s164 a 1 b 2 qa 3

55、 qb 4 qc 5 qd 6 cl k 8 cl r 9 qe 10 qf 11 qg 12 qh 13 u4 74l s164 a 1 b 2 qa 3 qb 4 qc 5 qd 6 cl k 8 cl r 9 qe 10 qf 11 qg 12 qh 13 u3 74l s164 a 1 b 2 qa 3 qb 4 qc 5 qd 6 cl k 8 cl r 9 qe 10 qf 11 qg 12 qh 13 u2 74l s164 r1 0 1k r1 1 1k r1 2 1k r1 3 1k i/o i/o i/o 图4-5 显示部分设计电路图 4.1.5 键盘部分键盘部分 本设计一

56、共需要五个按键,其中包括测周期、测频率、测脉宽、测占空比 四个功能选择键,一个复位键,复位键使用单片机自身的复位功能。键盘与单 片机相连,通过键盘扫描程序确定是否有键按下并判断是那个键被按下,从而 进一步调用与之所代表的功能相符的计算子程序,在把计算结果通过显示电路 显示出来。这样就可以实现按下功能选择键之后,在数码显示管上读出该指标 的测量结果。 键盘电路如图4.1.6所示,其中sw1为复位键,sw2sw5这四个键位命令键。 在测量的时候,用户可以根据被测信号的大致范围选择不同的适合被测信号的 量程档位从sw2sw5这四个键输入。共有四个不同的闸门时间代表不同的档位 量程,分别是0.01s,

57、0.1s,1s,10s。同时由led1led4进行相应的档位显示。 cpld由sw2sw5读入键盘控制命令,编译后的数据从cpld的key1,key2输出。 单片机从cpld读取译码后的数据,计算后由单片机向cpld输出闸门脉宽控制 计数器计数,p1.0,p1.1,p1.2,p1.3,p1.4,p1.5,p1.6,p1.7输出数码管位显 示信号。 4.2 频率计软件频率计软件 利用max+plusii的编程环境,编写分频器模块、闸门定时信号模块、测频 控制信号发生器模块、计数器模块、锁存器模块、显示模块部分程序。 4.2.1 分频器模块分频器模块 分频器模块要将实验室的 4mhz 转换成 1k

58、hz 的信号来作为标准信号。其 设计时分为两部分: (1)count1000 的设计。 en:输入使能信号; clk:输入时钟信号; q:输出信号; rco:进位输出信号; 利用 3 个 74161 器件、2 个输入器件、2 个输出器件即可连线连接成一个 count1000 器件。 74161 的引脚、逻辑电路如图所示,其中 rd 是异步清零端,ldn 是预置 数控制端,a、b、c、d 是预置数据输入端,ent 和 enp 是计数使能控制端, rco(=entqaqbqcqd)是进位输出。 图 4-6 74161 的器件引脚图 (2)fpq 的设计。 en:输入使能信号; clk:输入时钟信号

59、; q:输出信号; 利用 1 个 74161 器件、2 个输入器件、1 个输出器件和 1 个 count1000 器件 即可连线连接成一个 fpq4000 器件。 进行仿真无误后,就要引脚锁定,其目的是将设计编程下载到选定的目标 器件(epm7128slc84-15)中来进行进一步的硬件测试,以便最终了解设计项 目的正确性。这就必须根据 eda 实验板的要求对设计项目输入输出引脚赋予确 定的引脚,以便能够为其进行实测。这里根据实际需要将 fpq4000 的 3 引脚 en、clk、q 分别与目标器件 epm7128slc84-15 的 4、5、6 脚相接,操作如 下: (1)选择菜单 assi

60、gnpinlocationchip,在弹出的对话框中 node name 框中键入分频器的端口名。在右侧的 pin type 栏中将显示该信号的属性。 (2)在左侧的 pin 下拉列表中输入该信号对应的引脚编号 4、5、6,然后 单击 add 按钮,再单击 ok 按钮结束。 4.2.2 闸门定时模块闸门定时模块 在本设计中,对于 4 位十进制计数器来说,当闸门信号的最大采样时间为 1s 时,其计数值在 09999 之间,则其最大频率为 9999hz,此即为频率计电路 工作的 1 档;当闸门信号的最大采样时间为 0.1s(100ms)时,其计数值在 09999 之间,把它转换为频率则为最小频率

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论