柯力 综合设计报告 数字电子钟new_第1页
柯力 综合设计报告 数字电子钟new_第2页
柯力 综合设计报告 数字电子钟new_第3页
柯力 综合设计报告 数字电子钟new_第4页
柯力 综合设计报告 数字电子钟new_第5页
已阅读5页,还剩33页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、武汉工程大学 计算机科学与工程学院综合设计报告设计名称: 数字逻辑综合设计 设计题目: 数字电子钟 学生学号: 0905030211 专业班级: 智能科学与技术02 学生姓名: 柯力 学生成绩: 指导教师(职称): 曾祥进 课题工作时间: 2010-12-27 至 2011-1-7 说明:1、报告中的第一、二、三项由指导教师在综合设计开始前填写并发给每个学生;四、五两项(中英文摘要)由学生在完成综合设计后填写。2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩。3、指导教师评语一栏由指导教师就学生在整个设计期间的平时表现、设计完成情况、报告的质量及答辩情况,给出客观、全面的评价。4

2、、所有学生必须参加综合设计的答辩环节,凡不参加答辩者,其成绩一律按不及格处理。答辩小组成员应由2人及以上教师组成。5、报告正文字数一般应不少于5000字,也可由指导教师根据本门综合设计的情况另行规定。6、平时表现成绩低于6分的学生,取消答辩资格,其本项综合设计成绩按不及格处理。7、此表格式为武汉工程大学计算机科学与工程学院提供的基本格式(适用于学院各类综合设计),各教研室可根据本门综合设计的特点及内容做适当的调整,并上报学院批准。成绩评定表学生姓名: 柯力 学号: 0905030211 班级: 智能科学与技术02 类别合计分值各项分值评分标准实际得分合计得分备注平时表现1010按时参加综合设计

3、,无旷课、迟到、早退、违反实验室纪律等情况。由设计负责人给出完成情况3020按设计任务书的要求完成了全部任务,能完整演示其设计内容,符合要求。10能对其设计内容进行详细、完整的介绍,并能就指导教师提出的问题进行正确的回答。报告质量3510报告文字通顺,内容翔实,论述充分、完整,立论正确,结构严谨合理;报告字数符合相关要求,工整规范,整齐划一。5课题背景介绍清楚,综述分析充分。5设计方案合理、可行,论证严谨,逻辑性强,具有说服力。5符号统一;图表完备、符合规范要求。5能对整个设计过程进行全面的总结,得出有价值的结论或结果。5参考文献数量在3篇以上,格式符合要求,在正文中正确引用。答辩情况2510

4、在规定时间内能就所设计的内容进行阐述,言简意明,重点突出,论点正确,条理清晰。15在规定时间内能准确、完整、流利地回答教师所提出的问题。总评成绩: 分 补充说明: 指导教师: (签字)日 期: 年 月 日答辩记录表学生姓名: 柯力 学号: 0905030211 班级: 智能科学与技术02 答辩地点: 答辩内容记录:答辩成绩合计分值各项分值评分标准实际得分合计得分备注2510在规定时间内能就所设计的内容进行阐述,言简意明,重点突出,论点正确,条理清晰。15在规定时间内能准确、完整、流利地回答教师所提出的问题。答辩小组成员(签字): 年 月 日指导教师评语指导教师: (签字)日 期: 年 月 日一

5、、综合设计目的、条件、任务和内容要求:1.综合设计目的(1)掌握计数器、译码器、显示电路的功能及应用。(2)进一步掌握实际应用中时序逻辑电路的设计与调试方法。(3)学会考虑问题的方式,注重细节。2.综合设计条件(1)两个星期的实验机房(2)老师的指导与同学的帮助3.综合设计任务 设计一个具有校时功能的电子钟。4.综合设计内容要求(1)实现电子钟的基本功能,包括10进制进位,60进制进位与24进制进位。(2)要求有一个校时电路,可以随时调整时钟的时间。(3)其他的功能可以在设计过程中完善。 指导教师签字: 年 月 日二、进度安排:(1)2010-12-272010-12-30 查阅文献,收集资料

6、(2)2010-12-302010-1-2 方案论证(3)2011-1-2 2011-1-6 系统详细设计(4)2010-1-6 2011-1-7 综合设计报告,论文撰写三、应收集资料及主要参考文献:1朱勇.数字逻辑【S】.中国铁道出版社,2007.2武俊鹏.数字电路与可编程技术实验教程【M】.哈尔滨工程大学出版社,2007.3许莉娅.数字电路与逻辑设计【S】.北京理工大学出版社,2006.4王永军等.数字逻辑与数字系统(第二版)【M】.电子工业出版社,2006.四、综合设计(课程设计)摘要(中文): 随着时间发展,科技逐渐进步。我们当代大学生学习数字逻辑这门学科,深入研究数字电子钟的原理合设

7、计具有划时代的意义。本次实验中依老师要求,自行设计电源,所以采用555定时器设计了一个多谐振荡电路来制造出1HZ的脉冲,用计数器计时,然后用比较器实现其中的闹钟功能,除了这三个主要功能模块之外,本次设计中的数字电子钟还有整点报时,停时校正,能够实现市面上简单的电子钟的所有功能,操作简单。虽然仅仅依靠简单的芯片和门电路来完成一个数字电子钟显得比较繁琐,但是这些都是最为实用和基础的,掌握好这些专业知识有助于我们练就更为高深的研究性思维。总的来说,数字电子钟的设计和完成,无论是在学习,研究,还是实用性都有一定的价值。关键词:多谐振荡电路;计数器;比较器 。五、综合设计(课程设计)Abstract(英

8、文):With time going by , science and technology have a fast development . It is of vital importance for us contemporary college students to obtain some knowledge and have a further study in the subject of digital logic as well as the theory and design of digital electronic clock. In this experiment,

9、the power supply was creatively designed according to the requests of the tutor; the function of this electronic clock, which pulse of 1HZ is made by multi-vibrator, designed with Timer 555, was achieved with a counter for time keeping and a comparator for alarming function. Apart from the three pri

10、ncipal functional modules, the design of this electronic contains the function of on-dot time telling and time-stopping-revise, making it possible for this clock to achieve most of the simple electronic clock functions sells in the market with an easy operation. Although it appears to be miscellaneo

11、us and complex to finish making this digital electronic clock merely by simple chips and gating circuits, it is still the most practical and fundamental and good knowledge in this field is beneficial for us to obtain a critical thinking. In general, the design and accomplish of this digital electron

12、ic clock are of great value in study, research as well as in practice.Keywords :multi-vibrator circuits; counter; comparator.目 录摘 要 IIAbstract . II第一章 课题背景 . 11.1 仿真软件简介.11.2 设计数字电子钟时代背景 . 11.3 综合设计目的及内容 . 31.4 章节简介 . 3第二章 设计简介及设计方案论述 . 42.1 设计简介 .42.2 设计方案论述.42.3 功能简介 .5第三章 详细设计. 63.1设计原理 .63.2应用元器

13、件介绍 .73.3 模块分析介绍 .133.3.1 电源模块 .133.2.2 时钟计时模块 .153.3.3 闹钟调时模块 .163.3.4 闹钟模块 .173.3.5选择控制模块 .183.3.6 显示模块 .19第四章 设计结果及分析 . 204.1 操作方式 .204.2 调试方式 .204.3 设计结果分析 . .22总 结 .23致 谢 .24参考文献 .25武汉工程大学计算机科学与工程学院 综合设计报告摘 要 社会发展至今,掌握好时间就是拥有一切。我们当代大学生学习数字逻辑这门学科,深入研究数字电子钟的原理合设计具有划时代的意义。本次实验中依老师要求,自行设计电源,所以采用555

14、定时器设计了一个多谐振荡电路来制造出1HZ的脉冲,用计数器计时,然后用比较器实现其中的闹钟功能,除了这三个主要功能模块之外,本次设计中的数字电子钟还有整点报时,停时校正,能够实现市面上简单的电子钟的所有功能,操作简单。虽然仅仅依靠简单的芯片和门电路来完成一个数字电子钟显得比较繁琐,但是这些都是最为实用和基础的,掌握好这些专业知识有助于我们练就更为高深的研究性思维。总的来说,数字电子钟的设计和完成,无论是在学习,研究,还是实用性都有一定的价值。关键词:多谐振荡电路;计数器;比较器 。Abstract The good control of time has played a significan

15、t role so far with the development of society. It is of vital importance for us contemporary college students to obtain some knowledge and have a further study in the subject of digital logic as well as the theory and design of digital electronic clock. In this experiment, the power supply was creat

16、ively designed according to the requests of the tutor; the function of this electronic clock, which pulse of 1HZ is made by multi-vibrator, designed with Timer 555, was achieved with a counter for time keeping and a comparator for alarming function. Apart from the three principal functional modules,

17、 the design of this electronic contains the function of on-dot time telling and time-stopping-revise, making it possible for this clock to achieve most of the simple electronic clock functions sells in the market with an easy operation. Although it appears to be miscellaneous and complex to finish m

18、aking this digital electronic clock merely by simple chips and gating circuits, it is still the most practical and fundamental and good knowledge in this field is beneficial for us to obtain a critical thinking. In general, the design and accomplish of this digital electronic clock are of great valu

19、e in study, research as well as in practice.Keywords :multi-vibrator circuits; counter; comparato- 26 -第一章 课题背景1.1仿真软件简介Protues软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),

20、从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器,我在本次综合设计中使用的是Proteus 7.5 SP3。1.2设计数字电子钟时代背景本次综合设计,老师给我们出了2个课题,可人工干预

21、的交通灯控制器,还有一个就是我所选择的数字电子钟。之所以选择数字电子钟是因为我们在以前的一个实验中,有设计过一个简陋的计时器,我想在我更为深入的研究之下能够自行设计好一个功能强劲的数字电子钟。有了一定的基础不愿留下遗憾。近几年,中国集成电路产业取得了飞速发展。中国集成电路产业已经成为全球半导体产业关注的焦点,即使在全球半导体产业陷入有史以来程度最严重的低迷阶段时,中国集成电路市场仍保持了两位数的年增长率,凭借巨大的市场需求、较低的生产成本、丰富的人力资源,以及经济的稳定发展和宽松的政策环境等众多优势条件,以京津唐地区、长江三角洲地区和珠江三角洲地区为代表的产业基地迅速发展壮大,制造业、设计业和

22、封装业等集成电路产业各环节逐步完善。 2006年中国集成电路市场销售额为4862.5亿元,同比增长27.8%。其中IC设计业年销售额为186.2亿元,比2005年增长49.8%。 2007年中国集成电路产业规模达到1251.3亿元,同比增长24.3%,集成电路市场销售额为5623.7亿元,同比增长18.6%。而计算机类、消费类、网络通信类三大领域占中国集成电路市场的88.1%。 目前,中国集成电路产业已经形成了IC设计、制造、封装测试三业及支撑配套业共同发展的较为完善的产业链格局,随着IC设计和芯片制造行业的迅猛发展,国内集成电路价值链格局继续改变,其总体趋势是设计业和芯片制造业所占比例迅速上

23、升。现在是一个知识爆炸的新时代。随着国内外IC技术的飞速发展新产品、新技术层出不穷,电子技术的发展更是日新月异。可以毫不夸张的说,电子技术的应用无处不在,电子技术正在不断改变我们的世界。但在这快速发展的年代,时间对人们来说越来越宝贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。因此我们需要一个定时系统来提醒这些忙碌的人。数字化的钟表给人们带来了极大地方便。 近些年,随着科技的发展和社会的进步,人们对电子钟的要求也越来越高,传统的时钟已不能满足人们的需求。多功能电子钟不管在性能上还是样式上都发生了质的变化,有电子闹钟,数字闹钟等等。但是在多功能电子

24、钟中的应用已是非常普遍的,人们对电子钟的功能及工作顺序都非常熟悉,却很少知道它的内部结构以及工作原理。 自从人类有了时间的概念,对时间的要求变得越来越精确。而原先的机械表无法满足现代人们的紧张需求,于是产生了更直观、更准确的电子钟。这次数字逻辑综合设计就要求我们自行设计一个能够准确报时的电子钟电路,并按照各种需求加入许多功能,以完善所设计的数字电子钟。在这次的电子钟设计钟,了解电子手表的基本工作原理,使用较新和功能全面的元件,提高学生的动手能力,并复习数字逻辑这门课的相关知识和进一步提高独立思考与创新能力。 数字电子钟,可以说是我们日常生活少不了的一项电子工具。对于大多数的电子表,一般都是用单

25、片机结合编程来实现功能。但是,我们并没有接触过单片机编程,所以我在本次综合设计中尝试用计数器,选择器和门电路设计一个功能较为完整的电子钟。虽然如我们这样设计出的一个数字电子钟是最为基本的,但是我们现在在学数字逻辑,学以致用,并且能够巩固所学的知识,在对我们知识的掌握和运用很有价值。1.3综合设计目的及内容 1.综合设计目的(1)掌握计数器、译码器、显示电路的功能及应用。(2)进一步掌握实际应用中时序逻辑电路的设计与调试方法。(3)学会考虑问题的方式,注重细节。2.综合设计内容要求(1)实现电子钟的基本功能,包括10进制进位,60进制进位与24进制进位。(2)要求有一个校时电路,可以随时调整时钟

26、的时间。(3)其他的功能可以在设计过程中完善。1.4章节简介本综合设计报告第一章为序言,阐述了本次综合设计的一些目的和内容并且将大家带入电子钟的时代。第二章将数字电子钟的总体设计方案和植入的功能介绍给大家,以流程图的形式给读者一个清晰的思路。第三章分模块详细拆分介绍各个部位的功能和接线法。第四章主要为后期的调试和一些小小的修改。第二章 设计简介及设计方案论述2.1 设计简介 本次数字电子钟的设计,采取的24小时制。液晶屏幕上能够显示到23:59:59.电源采取的为5V恒压电源,方便采集和换取。功能上有整点报时,校时器,除了这些基本功能之外还增加了设定定是闹钟的功能,一键切屏功能。2.2 设计方

27、案论述 整体来说本次综合设计的数字电子钟分为几个模块,分别为:电源模块,显示模块,时钟模块,闹钟模块,选择控制模块。其中电源采取用5V的直流电池,通过555及其一系列电路构成稳定精准的1HZ的电源脉冲。显示模块采取的为有用译码功能的LED液晶屏。时钟计时和闹钟定时都采用的74LS90通过逻辑电路构成的计数器。选择控制模块用比较器完成。最后通过一系列的逻辑电路将其综合在一起。为此为了直观地说明,制作了一张运行流程图如图2-1所示:图2-1 数字电子钟总流程图2.3 功能简介 本次综合设计的数字电子钟,首先设计了一个电源开关,正常情况下都是稳定运行的,当需要调时时,可以将其断开以便准确调时。调时可

28、以分别通过3个点触按钮调试时,分和秒。通过LED液晶屏来判断自己的操作。除此之外,还设定有一个按钮来切换LED液晶屏上的时钟和闹钟显示,通过2个点触式按钮来调节闹钟的时和分,由于闹钟不需要调节秒钟。闹铃灯的持续点亮时间为1分钟很好地符合实际生活情况。第三章 详细设计3.1设计原理由于需要贴近我们的生活情况,数字电子钟采用的5V恒压电源,以便采集和取用。但是我们的数字电子钟需要的是1HZ精确稳定的脉冲,市面上的一般采取32768HZ的晶振15次分频之后得到,而这个时候我运用了学过的通过555定时器为核心构成的恒定频率的脉冲,设置好电容和电阻的值,完全可以实现。将标准秒信号送入“秒计数器”,“秒计

29、数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整。3.2应用元件介绍本次综合设计的数字电子钟,运用的芯片详细资料如下:3.2.1.555定时器3-1

30、 555定时器555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图如图 3.1所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3

31、,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。3.2.2.74LS85比较器内部电路框图如图3-2所示:图3-2 74LS85电路图85 为4 位数值比较器,共有54/7485、54/74S85、54/74LS85 三种线路结构型式,其主要电特性的典型值如下:型号 Lpd PD54/7485 21ns 2

32、75mW54/74S85 12ns 365mW54/74LS85 25ns 52mW85 可进行二进制码和BCD码的比较,对两个4 位字的比较结果由三个输出端(FAB,FAB,FAB输出。将若干 85 级联可比较较长的字,此时低级位的FAB,FAB,FAB连接到高位级相应的输入AB、AB、AB,并使低位级的AB为高电平。引出端符号A0A3 字A输入端B0B3 字B输入端AB AB 级联输入端AB AB 级联输入端AB AB 级联输入端FAB A等于B输出端FAB A大于B输出端FAB A小于B输出端3.2.3.74LS90计数器图3-3 74LS90 内部管脚图内部电路框图如图3-3所示:通过

33、不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功详述如下:(1) 计数脉冲从CP1输入,QA作为输出端,为二进制计数器。(2) 计数脉冲从CP2输入,QDQLQH作为输出端,为异步五进制加法计数器。(3) 若将CP2和QA相连,计数脉冲由CP1输入,QD、QC、QB、QA作为输出端,则构成 异步8421码十进制加法计数器。(4) 若将CP1与QD相连,计数脉冲由CP2输入,QA、QD、QC、QB作为输出端,则构成 异步5421码十进制加法计数器。(5) 清零、置9功能。a) 异步清零当R0(

34、1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即QDQCQBQA=0000。b) 置9功能当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即QDQCQBQA =1001.3.2.4.74157选择器内部电路框图如图3-4所示:图3-4 74157内部框图词芯片功能很简单strobe 口接低电平电路工作。SELECT接低电平时,输出为A1,A2,A3,A4;反之输出为B1,B2,B3,B4。3.2.5其他细小的原件以表格的形式一一列出:如表3-1所示。编号器件名称主要功能图示备注174LS85比较器8口比较器,合乎条件的输

35、出高电平。274LS90计数器8进制计数器。CKA,CKB为输入脉冲端。Q0-Q4为输出端。RO(1),R0(2)同时置高电平时计数器清零。CKB与U0相接374157选择器八输入四输出选择器。当1口接低电平时输出A的电平,接高电平时输出B的电平。E接低电平时工作。4555定时器三五定时器可产生稳定的脉冲。57SEG-BCD-GRN带有译码器功能的四输入LED显示屏67SEG-BCD-BLUE带有译码器功能的四输入LED显示屏77SEG-BCD带有译码器功能的四输入LED显示屏874LS21四输入与门974LS04非门10BUZZER蜂鸣器111N4148二极管12RES电阻阻值为10K13C

36、AP电容一个为0.01uf,另一个为48.3uf。14LED-GREEN绿色LED灯上端高电平,下端低电平时会亮。15LED-RED红色LED灯上端高电平,下端低电平时会亮。16LED-BlUE蓝色LED灯上端高电平,下端低电平时会亮。17BUTTON开关具有常开与常闭功能。表3-1 器材一览表3.3模块分析介绍3.3.1电源模块我通过555定时器构成的多谐振荡电路来实现稳定精准的1HZ频率脉冲。实际情况如3-5所示:图3-5 555多谐振荡电路实物图当555电路按图3-6所示连接时,就构成了自激多谐振荡器,其中R1、R2是外接电阻,C是外接电容。图3-6 555多谐振荡电路的振荡周期 :T=

37、(R1+2R2)ln2C为了达到我们时钟要求的1HZ脉冲。我选定C1=0.01F,R1=R2=1K ,带入以上公式计算得C2为483uF,若要求精确则可以多取几位小数,我们的仿真软件达不到那样的精度,故不作要求。3.3.2时钟计时模块数字电子钟的秒钟计时方式为60进制。我们用已有的芯片不能够直接得出,但是我们可以将一枚10进制设定的74LS90芯片与一枚6进制设定的74LS90芯片一起组成一个60进制计数器,实际连接图如图3-7所示:图3-7 60进制计数器由于73LS90在CKB接Q0时,不需要清0端就可构成一枚十进制计数器,所以U2的R端直接接地。U2的Q3与CKA相接,使每当Q3由1变0

38、的时,U4产生一个计数脉冲。U4的Q1接R0(1),Q2接R0(2),当Q1和Q2同时为高电平时即R0(1)与R0(2)同时为高电平时U4清0,实现逢六清0。再将U4的Q3接到下一组60进制计数器的CKA端,实现逢六进一。分钟的计时也是相同的原理,在此不再重复。时钟的计时是由两枚设定为十进制的74LS90芯片相接构成的,但是清0的时候需要技巧,逢24清0,具体接线方法如图3-8所示:图3-8 二十四进制计数器如上图所示与秒钟分钟不同的是我将U12的Q2分别连接到U12的R0(1)与U13的R0(1),将U13的Q1分别连接到U12的R0(2)与U13的R0(2)上,这样当U12计数到4且U13

39、计数到2的时候就会清0从而实现逢24清0的效果。3.3.3时钟调时模块校时是一个数字电子钟必不可少的功能,我也将此功能设计入其中并且最精确可以调节秒位。主要线路如图3-9所示:图3-9调时按钮从左到右三个按钮一次控制秒针分针和时针。图中的秒针的另一端连接到电源模块。电阻的作用是防止三个控制按钮之间发生短路现象。若仅仅如此将开关引出的线接到秒针分针和时针的控制个位的CKA上,会出现按分针调节的时候,秒针也会进位,按时针调节的时候,分针也会进位。这是因为CKA与上一级74LS90芯片也是导通的。这个时候我们只需要加一个单向导通的二极管即可。具体实物图如图3-10:图3-10单向导通方案这样的设计手

40、动增加的脉冲不会反向激发上级的74LS90计数器。3.3.4.闹钟模块闹钟模块其实就是一个没有激发脉冲的时钟计时模块。其接线方法与时钟计时模块一模一样。闹钟定时功能就是时钟调时功能的接线方式。用按钮控制电平,造成一个下降沿,从而使计数器向前计数。3.3.5.选择控制模块 为了同时使闹钟界面与时钟界面共用一组六屏LED液晶屏幕,我用选择器来选择输入信号。实际线路图如图3-11所示:图3-11 选择器电路此图列举出其中的3块选择芯片全图中一共出现六枚比较芯片74157。设定好的闹钟时间与时钟计时器的时间一致时比较器输出高电平,信号灯点亮一分钟,表示闹铃持续一分钟。比较器的实际线路如图3-12所示:

41、图3-12比较器电路此图只列出两枚比较器74LS85,全图中还有两枚。四枚依次比较时针和分针(时针和分针都是两位数字)。当全部相等时四枚芯片输出同时为一,信号灯点亮一分钟。闹钟效果达成得很好。3.3.6 显示模块 将所设计的时钟计数器和闹钟计数器之中的十二块74LS90芯片的输出端Q0Q3直接与选择器74157连接。经选择之后Q0Q3直接转换为Y1Y4,最后将Y1Y4依次从右到左接到7SEG-BCD液晶显示屏的四个管脚即可完成显示。实际接线图如图3-13所示:图3-13 LED显示器这里需要说明的是为了更好地区分时分秒,绿色屏幕为秒针,蓝色为分针,红色为时针,也实现了彩屏这个有趣的效果。第四章

42、 设计结果及分析4.1 操作方式 为了使自行设计的数字电子钟操作简单,一目了然。我特意将控制系统集合于LED液晶显示屏附近并且用总线将其分割为三块,实际接线图如图4-1所示:图4-1 控制系统全图 信号灯LIGHT1为整点报时信号灯,信号灯LIGHT2为闹铃持续1分钟的指示灯。与两块指示灯在同一区域的两个开关为控制开关,从左到右依次为一键切换屏幕开关(开关断开时显示屏显示正常时间,开关断开时显示屏显示闹钟设定时间),正常时间停止开关(在校正正常时间时,为了调时秒针以达到精确地目的我们可以将计时停止再仔细调时,开关闭合为正常,断开为计数停止)。上一排右边区域的三个按钮分别为调时正常时间时分秒的开关。下面一排的两个开关分别为闹钟的时针和分针进行定时。4.2 调试方式 为了更为直观地阐述自行设计的电子钟的所有功能,下面我图文并用地简单展示一段调试方式。如图4-1所示我们闭合切屏的开关。出现闹钟显示屏,我们将闹钟时间设定为3:59,实际效果图如图4-2所示。利用4-1中第二排的按钮。然后闭合最左边的开关。屏幕切换,显示回正常时钟。为了验证试验效果和数字电子钟的功能,下面我们将正常时间调到3:58以便迅速验证功能。断开正常时间停止开关,电子钟停走,利用

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论