




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、河北经贸大学毕业论文河北经贸大学毕业论文基于fpga的8路数字和模拟信号源摘 要信号源作为科学实验的一种基本设备,应用非常广泛,在学校的实验室就有很多种的信号源,用来给学生做实验使用,但是这些信号源比较昂贵,而在我们很多的实验中对信号源要求却比较低,使用这种昂贵的信号源就是大材小用,而且随着频繁的使用会很容易损坏,维修费用很高,这种情况很不合理。本课题就是结合目前快速发展的fpga技术来设计一个信号源,能满足一般的实验及演示的需要,而且成本很低、操作简洁方便,为信号源的设计提供一个可参考途径。现如今,信号源已经向小型化、智能化、通用化的方向发展。为此,必须采用先进的设计方法和大规模可编程器件加
2、以实现才能适应这种发展趋势。fpga等大规模可编程器件的发展成熟和eda技术为此奠定了良好的软硬件基础。本课题的整体思路是:整个模块由配置模块、中心控制模块、dac模块、信号调理模块、输出接口组成,其中,中心控制模块直接输出8路预定频率的数字量,以及读取rom波形存储器中的数据,然后通过dac模块将数字信号转换为模拟信号,最后通过信号调理电路,达到课题的技术要求。本课题运用vhdl语言进行程序设计。关键词 信号源;eda;fpgaabstractsignal source as a basic science experiment equipment is widely used in the
3、 school laboratory, there are many types of sources used for student experiments,but these sources are more expensive,and in many experiments we have less than the requirements of the signal source.the use of such expensive sources is a waste of talent, and with the frequently use it will be very ea
4、sy to be damaged,whats more, the maintenance costs is very high,so this is very unreasonable. the issue uses the current rapid development of fpga technology to design a signal source, to meet the general needs of experiments and demonstration, and it is low cost, simple and convenient to operate.it
5、 provides a reference channel for the signal source. now, the signal source has turn to miniaturization,intelligent,universal direction.therefore,we must adopt advanced design methods and large-scale programmable devices to adapt to this trend. fpga programmable devices such as large-scale developme
6、nt of mature technologies and eda software and hardware for this has laid a good foundation. the whole idea is:the entire module is constituted by the configuration module,central control module (fpga),dac module,signal conditioning modules,output interface. central control module directly outputs 8
7、 digital signals whose frequency are scheduled, and read the waveform memory in rom data, then dac module will convert digital signals to analog signals, and finally through the signal conditioning circuit meets the technical requirements. the subject uses vhdl language to design program . keywords
8、signal source; eda; fpga 1目 录1 绪论11.1 信号源发展现状11.2 eda技术简介11.3 基于eda的cpld/fpga应用32 方案设计52.1 整体设计方案52.2 系统组成62.3 系统中8路模拟信号源的构成73 系统的硬件电路83.1 中心控制芯片的选择83.2 fpga配置电路83.3 d/a转换电路113.4 时钟电路133.5 采样保持电路133.6 信号调理电路143.7 电源电路164 at28c64、dac0808、adg506的时序配合设计174.1 相关芯片介绍174.1.1 at28c64174.1.2 dac0808174.2 时序
9、配合185 系统的vhdl设计205.1 maxplusii简介205.2 vhdl语言215.2.1 vhdl语言简介215.2.2 vhdl语言基本结构215.2.3 vhdl程序设计226 经验总结与课题结论256.1 经验总结256.2 课题结论26附 录27附录1 系统原理图27附录2 vhdl代码28致 谢31参考文献32基于fpga的8路数字和模拟信号源1 绪论1.1 信号源发展现状现在电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度
10、,克服了模拟信号的处理的诸多缺点。目前任意波形发生器的基础就是直接数字合成技术,用高速存储器作查询表,通过数字形式存入的波形,由高速数/模转换器产生所需要的任意波形。1.2 eda技术简介 eda技术是现代电子信息工程领域的一门新技术,它是在先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。随着eda技术的不断发展,eda技术在不同的时期有不同的内容,其含义已经不仅局限在当初的电路版图的设计自动化概念上,而当今的eda技术更多的是指芯片内的电子系统设计自动化,即片上系统(soc)设计。也就是说,开发人员完全可以通过自己的电子系统设计来定制其芯片内部
11、的电路功能,使之成为设计者自己的专用集成电路(asic,application specific ic)芯片。在以上soc设计过程中,除系统级设计、行为级描述及对功能的描述以外均可由计算机自动完成,同时设计人员借助开发软件的帮助,可以将设计过程中的许多细节问题抛开,而将注意力集中在电子系统的总体开发上。这样大大减轻了工作人员的工作量,提高了设计效率,减少了以往复杂的工序,缩短了开发周期,实现了真正意义上的电子设计自动化。目前,电子系统的eda设计正从主要着眼于数字逻辑向模拟电路和数模混合电路的方向发展。在硅集成电路制造工艺方面已进入超深亚微米(vdsm)阶段,正在向0.05m发展。可编程逻辑器
12、件在其等效逻辑门数工作电压及时钟频率等性能指标上将有突破性的发展,特别适用于高密度高速度的系统级应用场合。随着芯片集成度的增大,单个芯片内集成了通用微控制器/微处理器核心(mcu/mpu core)、专用数字信号处理器核心(dsp core)存储器核心(memory core)、嵌入式软件/硬件、数字和模拟混合器件、rf处理器等,并且eda与上述器件间的物理与功能界限已日益模糊。综上所述,eda技术将向广度和深度两个方向发展,eda将会超越电子设计的范畴进入其它领域,随着基于eda的soc设计技术的发展,软硬核功能库的建立,ip核复用(ip reuse),以及基于vhdl所谓自顶向下设计理念的
13、确立,未来的电子系统的设计与规划将不再是电子工程师的专利。当前,eda的主要应用方向为微控制器asic和dsp等方面。eda技术涉及面广,内容丰富,尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。但在教学和实用的层面上。应用较为广泛是基于可编程逻辑器件的eda技术的教学和技术推广。在过去令人难以置信的事今天已成为平常之事,一台计算机,一套eda软件和一片或几片大规模可编程芯片,就能完成系统的设计。基于可编程器件eda 技术主要包括如下4大要素:1、大规模可编程逻辑器件,它是利用eda技术进行电子系统设计的载体;2、硬件描述语言,它是利用eda技术进行电子系统设计的主要手
14、段;3、软件开发工具,它是利用eda技术进行电子系统设计的智能化的自动化设计工具;4、实验开发系统,它是利用eda技术进行电子系统设计的下载与硬件验证工具。基于可编程器件的eda技术设计流程:对于目标器件为fpga的vhdl设计流程,简要说明如下:1、设计准备设计准备工作包括系统设计、设计方案论证和器件选择等。2、设计输入源程序的编辑和编译由设计者利用eda工具的文本编辑器对器件的逻辑功能进行描述,以文本方式或图形方式表达出来,进行编辑和编译,变成vhdl文件格式。常用的源程序输入方式有原理图、层次简图和vhdl语言等三种。3、设计实现欲把设计输入文件与硬件的可实现性挂钩,首先需要利用eda软
15、件系统的综合器进行逻辑综合,然后进行器件的布局、布线和适配,最后生成下载文件熔丝图文件。4、器件编程与配置设计编译好后,将数据文件通过编程器或下载电缆下载到目标芯片fpga/cpld中。isplsi器件可在线路板上编程,也可以在专用编程器上编程。5、设计验证在上述设计过程中,同时进行验证过程,包括行为仿真、功能仿真、时序仿真和硬件仿真/器件测试。其工程设计的基本流程图如图1.1所示:设计准备系统化分选择器件设计输入原理图层次简图硬件描述语言项目文件编译设计实现逻辑综合器件适配器件编程与适配在系统编程在编程器编程行为仿真功能仿真时序仿真硬件仿真器件测试图1.1 eda数字系统工程设计流程图1.3
16、 基于eda的cpld/fpga应用电子设计技术经过了ssi和mcu阶段,现在又面临一次新突破,即cpld/fpga在eda基础上的广泛应用。基于eda技术的发展,cpld/fpga与其他mcu相比,其优点越来越明显。cpld/fpga产品采用先进的jtag-isp和在系统配置编程,这种编程方式可轻易的实现红外线编程、超声编程或无线编程,或通过电话线远程编程,编程方式简便、先进。这些功能在工控、智能仪表、通信和军事上有特别用途。cpld/fpga的设计开发采用功能强大的eda工具,通过符合国际标准的硬件描述语言(如vhdl或verilog hdl)来进行电子系统设计和产品开发。开发工具的通用性
17、,设计语言的标准化以及设计过程几乎与所用的cpld/fpga器件的硬件结构没有关系,所以设计成功的逻辑功能软件系统有很好的兼容性和可移植性,开发周期短,易学易用,开发便捷。可以预言,我国的eda技术学习和cpld/fpga的应用热潮决不会逊色于过去的单片机热潮。学会利用eda平台和应用cpld/fpga进行电子系统设计是当今电子信息类专业人员的必备技能。2 方案设计2.1 整体设计方案中心控制模块直接输出8路预定频率的数字量,以及读取rom波形存储器中的数据,然后通过dac模块将数字信号转换为模拟信号,最后通过信号调理电路,达到课题的技术要求。本系统的主要技术要求如下:1、8路模拟信号输出的要
18、求:输出的8路模拟量范围是-10v+10v,频率按10hz递增;2、8路数字信号的输出要求:输出的8路数字量的频率是按2n递增的。根据课题的技术要求所设计的系统框图如图2.1所示:配置模块中心控制模块(fpga)dac模块信号调理模块输出接口图2.1 系统框图 2.2 系统组成系统的硬件电路部分包括fpga的配置电路、d/a转换电路、系统时钟电路、信号调理电路,电源产生电路和其他接口电路,图2.2为本系统的组成。各部分电路产生的功能如下:1、晶振产生fpga所需的时钟信号;2、d/a转换电路将数字信号转换成模拟信号;3、信号调理电路将模拟信号放大到课题要求的指标;4、电源产生系统各部分所需的5
19、v、15v、15v的电压。图2.2 系统组成2.3 系统中8路模拟信号源的构成如图2.3所示,at28c64共13条地址线,共8k的容量。一共输出8路模拟量, at28c64地址的高3位地址做adg506a的通道译码信号,高位地址c12c10经8次一个循环,低位地址c9c0才自增1,达到了每切换1个通道,就从该通道所在的存储区域顺序取出一个数据进行d/a转换。其中adg506a是反接的,也就是一输入多输出的形式,这样可以达到8路模拟信号共用一个dac模块的目的。adg506a的后续电路为采样保持电路,这里没有采用专用的采样保持器。图2.3 系统中8路模拟信号源的构成3 系统的硬件电路以下介绍硬
20、件电路各部分设计内容,总的原理图见附录1。本课题中硬件电路主要由fpga中心控制电路、d/a转换电路、系统时钟产生电路、信号调理电路等组成,fpga中心控制电路为系统的主要组成部分,它直接输出8路预定频率的数字量,以及读取rom波形存储器中的数据,中心控制电路是由altera生产的epf10k10lc84-4芯片为核心组成的,本章将对系统的硬件设计作详细的阐述。3.1 中心控制芯片的选择本系统的fpga芯片是一种复杂可编程逻辑器件,是84pinplcc封装,另外还有其它类型的管脚和封装,选择性强,它具有以下主要性能: 它是嵌入式可编程逻辑器件,提供了集成系统于单个可编程逻辑器件中的性能,提供1
21、0000250000个可用门,614440960位内部ram,而且低功耗、高速度、拥有灵活的互连方式、支持多电压i/o接口、以及强大的引脚功能和多种配置方式。3.2 fpga配置电路altera提供了一系列fpga专用配置器件,即epc型号的存储器,它们的特点是:1、配置时电流很小,器件正常工作时,epc器件为零静态电流,不消耗功率。2、适用于3.3/5v多种接口工作电压,提供dip、plcc和tqfp多种封装形式。3、maxplusii和quartusii等开发软件均提供对epc器件的支持。4、支持用mpu、mcu或cpld模仿下载配置时序为fpga配置。5、epc器件中的epc2型号的器件
22、是采用flash存储工艺制作的具有可多次编程特性的配置器件。epc2器件通过符合ieee标准的jtag接口可提供3.3/5v的在系统编程能力,具有内置的jtag边界扫描测试(bst)电路,以及可以通过bitblaster或byteblastermv下载电缆,使用串行矢量格式文件(.svf)、jam(.jam)、pof(.pof)、jam byte-code(.jbc)等文件格式对其进行配置,比较常用的是pof文件。本系统中配置芯片采用epc2lc20芯片,在本系统中电路如图3.1所示:图3.1 epc2lc20本系统中使用byteblaster并口下载电缆下载程序,byteblaster并口下
23、载电缆是一种连接到pc机25针标准口(lpt口)的硬件接口产品。它提供了两种下载模式:被动串行模式(ps)用于配置flex10k、flex8000和flex6000系列器件;jtag模式具有工业标准的jtag边界扫描测试电路(符合ieee 1149.1-1990标准),用于配置flex10k或对max9000、max7000s和max7000a系列器件进行编程。本系统中使用jtag模式,电路连接如图3.2所示:图3.2 下载接口配置芯片与flex10k的连接图如图3.3所示:图3.3 对flex10k的配置 如图3.3所示,配置器件的控制信号(如ncs、oe和dclk等)直接与fpga器件的控
24、制信号相连。所有的器件不需要任何外部智能控制器就可以由配置器件进行配置。配置器件的oe和ncs引脚控制着data输出引脚的三态缓存,并控制地址计数器的使能。当oe为低电平时,配置器件复位地址计数器,data引脚为高阻状态。ncs引脚控制着配置器件的输出,如果在oe复位脉冲后,ncs始终保持高电平,计数器将被禁止,data引脚为高阻。当ncs置低后,地址计数器和data输出均使能。oe再次置低时,不管ncs处于何种状态,地址计数器都将复位,data引脚置为高阻态。3.3 d/a转换电路本系统的特性很大程度上取决于d/a转换器的性能。主要性能指标是d/a的转换速度和分辨率(位数)。通常,高速d/a
25、的分辨率较低。目前常采用 8 位、10 位和12位的d/a转换器。根据系统的性能指标本系统采用比较便宜,性能也比较好的dac0808作为d/a转换器,它是8位的d/a转换器,转换时间为150ns。本系统采用的单片集成d/a转换器dac0808采用的是权电流型d/a转换电路,这个器件采用双级型工艺制作,工作速度较高。图3.4是dac0808的电路结构框图,图中d0d7 是8位数字量的输入端,io是求和电流的输出端。vref(+) 和vref(-) 接基准电流发生电路中运算放大器的反相输入端和同相输入端。comp供外接补偿电容之用。vcc和vee为正负电源输入端。其可应用电路图如下3.5图所示。其
26、中lf351是将da0808转换后的电流型模拟量转换成电压型模拟量。其计算公式为图3.4 dac0808的电路结构框图图3.5 d/a转换电路3.4 时钟电路系统时钟信号的产生是通过1m晶振来产生的,如图3.6所示:图3.6 时钟电路3.5 采样保持电路本系统中adg506的后续电路就是采样保持电路,在本系统中没有采用专用的采样保持器,而是采用阻容电路。采样保持的原理如图3.7:图3.7 采样保持的原理图a1-输入运算放大器a2-输出运算放大器k-这里可以是多路开关1、为了保证电路不影响输入信号源,要求a1具有很高的输入阻抗;2、为了保证c的电荷不易泻,要求a2有很高的输入阻抗,同时作为输出级
27、有很低的输出阻抗,所以a1和a2 均工作在跟随状态。在本系统中采样保持电路如图3.8所示:图3.8 采样保持电路3.6 信号调理电路 信号调理电路的作用为将8路模拟信号放大到课题的技术要求。 在本系统中信号调理电路为差动输入运算电路,差动输入运算的电路原理如图3.9所示:图3.9 差动输入运算电路在图3.9中,输入量ui1和ui2分别加到运放的反相端和同相端,输出电压uo则仍通过电阻rf和r1分压后反馈到反相端,构成负反馈。图中r2和r3的接入是为了使rn=rp以及满足在差动输入下的特殊要求。利用叠加原理,可以求出uo与ui1、ui2的关系为在本系统中差动输入运算电路是由lm124来实现的,l
28、m124将d/a转换电路传送过来的模拟量放大到所需要的放大倍数。在本系统中电路如图3.10所示:图3.10 lm124的连接图lm124为四运放芯片,供电管脚为4引脚(15v)和11引脚(15v)。lm124主要的性能指标:1、内部频率增益补偿型;2、低输入电压偏移值:2mv;3、偏移电流:5na。 3.7 电源电路由于本系统各模块对电源的要求不一致,如fpga芯片的内部电压为5v,系统其它模块要求的供电电压也各有不同,所以设计如图3.11所示的电源, +15v用7815得到;-15v用7915得到;+5v用7805得到,7805、7815、7915内部包含限流电路、过热保护电路、可以防止过载
29、。具有较高的稳定度和可靠性。图3.11 电源电路4 at28c64、dac0808、adg506的时序配合设计4.1 相关芯片介绍4.1.1 at28c64at28c64是一种采用nmoscmos工艺制成的8k8位28引脚的可用电擦除可编程只读存储器。其读写像sram操作一样,不需要外加任何元器件。在写入之前自动擦除。有部分芯片具有两种写入方式,一种是字节写入方式,还有另一种页写入方式。允许在一个写周期内同时对1个字节到一页的若干字节进行编程写入。at28c64增加了一种检测写周期结束的方法:利用增加的引脚rdybusy来表示写操作什么时候完成。当写操作开始后,rdybusy输出为低电平,这时
30、数据输出线呈高阻状态,其目的是由于写操作时间相对很长,利用这段时间微处理器可以处理其他任务。当写操作结束时,rdybusy为输出高电平,指示微处理器可以进行新的读写访问。增加rdybusy输出信号线后,可以简化写操作结束检测的设计,否则需要采用硬件定时逻辑或软件延时循环来实现此功能。4.1.2 dac0808da0808主要的性能指标:1、精度范围:0.19%;2、启动时间:150ns;3、电压供电范围:4.5v-18v;4、它的管脚连接图如图4.1:图4.1 dac0808的管脚连接图4.2 时序配合28c64、dac0808、adg506的时序配合:1、dac0808的转换时间:150ns
31、(典型值);2、adg506 的转换时间:(关断时间是50ns)400 ns(最大值);3、28c64 的taccmax=250 ns;图4.2为28c64的时序:图4.2 at28c64的时序at28c64的地址切换后,等250 ns后,将数据送入dac0808;dac0808等150 ns后将数据送入adg506,在28c64的地址切换的同时,adg506的通道也切换,其关断时间是50ns,其切换时间是400 ns。结论:28c64地址切换时间+d/a转换时间= adg506的通道切换时间,所以基本可以同步工作,在1/400 ns=2.5 m的范围内。能够工作的条件是:1、adg506的通
32、道关断时间28c64地址切换时间;2、28c64地址切换时间+d/a转换时间adg506的通道切换时间。即:1、在输出新模拟值之前,adg506的通道必须关断;2、在输出新模拟值之后,adg506的通道必须导通;3、在实际电路中,28c64的地址切换的速率为:1ms,adg506的通道切换的速率为:1ms。5 系统的vhdl设计5.1 maxplusii简介altera公司的max+plus ii开发环境是一个完全集成化、易学易用的可编程逻辑设计环境,它可以在多种平台上运行。max+plus ii是mutiple array matrix and programmable logic user
33、 system 的缩写。它所提供的灵活性和高效性是无可比拟的。max+plus ii开发系统具有以下特点:1、开放的界面altera的工作与eda厂家紧密结合,使max+plus ii软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用altra或标准eda设计输入工具来建立逻辑设计,使用max+plus ii编译器(compiler)对altera器件设计进行编译。 2、与结构无关max+plus ii系统的核心是compiler支持altera公司的flex 10k、flex 8000、flex6000、max9000、max7000、max5000和classic可编程
34、逻辑器件系列,提供了业界唯一真正与结构无关的可编程逻辑设计环境。max+plus ii的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地设计集成到器件中。3、完全集成化max+plus ii的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试,缩短开发周期。 4、丰富的设计库max+plus ii提供了丰富的单元供设计者调用,包括74系列的全部器件和多种的逻辑宏功能(macro_function),可以大大减轻设计人员的工作量,也可成倍地缩减设计周期。5、模块化工具设计人员可以从各种设计输入、处理和校验选项中进行选择从而使设计环境用户化,必要时,还可根据需要添加新
35、功能。由于max+plus ii支持各种器件系列,涉及人员不必学习新工具即可支持新结构。6、硬件描述语言(hdl)max+plus ii软件支持各种hdl设计输入选项,包括vhdl、verilog hdl和altera自己的硬件描述语言ahdl。除了上述特点之外,目前应用最广泛的max+plus ii 10.0版软件支持对flex 10ke器件的编译及仿真,该版本还增强了寄存设计性能,减少了编译时间,提高了altera 的vhdl和verilog hdl合成工具的效果,大大提高了设计人员的效率。5.2 vhdl语言5.2.1 vhdl语言简介vhdl是vhsic hardware descri
36、ption language 的缩写,其中vhsic是very high speed integrated circuit 的缩写。vhdl的中文全名是超高速集成电路硬件描述语言。vhdl是美国国防部在20世纪70年代末和80年代初提出的vhsic(very high speed integrated circuit)计划的产物。vhdl既可以被计算机阅读,又可以被人阅读,它支持硬件的设计、验证、综合和测试,并且支持硬件设计数据的交换、维护、修改和硬件的实现。5.2.2 vhdl语言基本结构电路基本结构都由实体说明(entity declaration)和构造体(architecture bo
37、by)两部分构成。vhdl描述的目的就是给出数字电路与系统的模型。对应于电路的基本结构,vhdl的基本结构=1个实体(entity)+若干个结构体(architecture),如图5.1所示。在vhdl中,实体和结构体这两个结构是必需的,他们可以构成最简单的vhdl程序。但一个完整的vhdl语言程序通常包含实体(entity)、结构体(architecture)、配置(configuration)、包集合(package)和库(library)。图5.1 vhdl语言结构5.2.3 vhdl程序设计系统的主要功能通过vhdl编程实现,完整代码见附录2。定义信号源的实体的程序代码如下:entit
38、y xinhao is port( clk: in std_logic; s1 : in std_logic; en: out std_logic; we: out std_logic; oe : out std_logic; dig: out std_logic_vector(7 downto 0); a: out std_logic_vector(2 downto 0); pa: out std_logic_vector(12 downto 0) );end xinhao;在maxplus中编译之后生成的产生信号源的符号图如图5.2所示:图5.2 信号源符号图程序中的输入/输出信号说明:c
39、lk:系统时钟信号,即电路的工作频率;s1:系统复位信号;en::多路开关使能端;pa::存储器的13根地址线;a::存储器高三位地址;dig::8路数字信号输出。在本系统的vhdl中设计了3个进程:第一个进程的作用为分频,在硬件电路中系统要求使用1m的晶振,实际上使用的是2m的晶振,所以要进行分频程序的设计,代码如下:p0:process(clk,s1) begin if s1=0 then f_clk=0; elsif clkevent and clk=1 then f_clk= not f_clk; end if; end process p0;第二个进程的作用为控制8路模拟信号,代码如
40、下:p1:process(dclk,s1) begin if s1 = 0 then count2 = 0000000000000;elsif dclkevent and dclk = 1 then count2 = count2 + 1; end if; end process p1;第三个进程的作用为控制8路数字信号,代码如下:p2:process(dclk,s1) begin if s1 = 0 then count4 = 00000000;elsif dclkevent and dclk = 1 then count4 = count4 + 1; end if; end process
41、 p2;程序经过编译之后仿真,仿真波形如图5.3所示:图5.3 仿真波形6 经验总结与课题结论6.1 经验总结在导师的指导下,完成了pcb版图,进行了仿真和调试的过程,首先完成的是电源部分的调试,要确保每一个芯片都能正常电压供电,由于在绘制pcb的过程中存在许多问题,致使调试过程进行的不太顺利,但也基本顺利完成了调试,将vhdl程序载入仿真后,电路基本可以达到技术要求。由于本人实践经验很少,所以这次在这次毕业设计中犯了许多错误:1、在硬件电路中,要求输出8路模拟信号,多路开关应该选择adg507a,实际上使用的是adg506a,造成了浪费;2、由于绘制原理图时的疏忽,电源设计存在问题,致使da
42、c0808无法被供电,不得不采用飞线的方法;3、dac模块设计也存在问题。以上这些问题在导师的帮忙指导下,基本得到解决。通过这次毕业设计的工作,现在已经基本掌握以下内容:1、fpga器件flex10k10的使用方法,且会通过eprom器件对其进行程序的下载;2、熟练掌握了maxplusii软件,能够通过该软件进行vhdl程序的设计和仿真;3、根据模拟信号输出要求设计出了d/a转换电路、信号调理电路;4、掌握了protel软件,能够通过该软件进行原理图的设计和制作pcb电路板。6.2 课题结论本论文的主要工作是设计利用fpga技术实现8路模拟信号源和8路数字信号源。本课题的设计指标是:利用可编程
43、逻辑器件实现模拟信号源、数字信号源的输出,要求完成样机及测试要求:1、8路模拟信号的输出要求:输出的8路模拟量的范围是-10v+10v,频率按10hz递增;2、8路数字信号的输出要求:输出的8路数字量是按2分频递增的二进制数据输出。第一章简要介绍了信号源的发展现状以及设计它的技术背景;第二章简要介绍了系统综述;第三章简要介绍了系统的硬件电路;第四章简要介绍了系统的仿真软件;第五章简要介绍了系统的vhdl程序的设计。附 录附录1 系统原理图附录2 vhdl代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
44、;use ieee.std_logic_unsigned.all;entity xinhao isport(clk: in std_logic; s1 : in std_logic; en: out std_logic; we: out std_logic; oe : out std_logic; dig: out std_logic_vector(7 downto 0); a: out std_logic_vector(2 downto 0); pa: out std_logic_vector(12 downto 0) );end xinhao;architecture behavior o
45、f xinhao is signal count2 :std_logic_vector(12 downto 0); signal f_clk :std_logic; signal dclk :std_logic; signal count4 :std_logic_vector(7 downto 0); beginwe=1; en=1;oe=0; dclk=f_clk; p0:process(clk,s1) begin if s1=0 then f_clk=0; elsif clkevent and clk=1 then f_clk= not f_clk; end if; end process p0;p1:process(dclk,s1) begin if s1 = 0 then count2 = 0000000000000;elsif dclkevent and dclk = 1 then count2 = count2 + 1; end if; end process p1
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年中国4-哌啶基哌啶数据监测报告
- 2025年中国1,4-环己二酮数据监测报告
- 2025至2030年中国高光模压板市场分析及竞争策略研究报告
- 2025至2030年中国酒店桌裙市场分析及竞争策略研究报告
- 2025至2030年中国螺旋重质除渣器市场分析及竞争策略研究报告
- 2025至2030年中国空气健康剂市场分析及竞争策略研究报告
- 2025至2030年中国电气测试设备市场分析及竞争策略研究报告
- 2025至2030年中国热熔反光型标线涂料市场分析及竞争策略研究报告
- 2025至2030年中国波形护栏市场分析及竞争策略研究报告
- 2025至2030年中国循环水真空抽气泵市场分析及竞争策略研究报告
- 2024年全民(人口和计划生育)知识试题与答案
- 《键盘指法练习》课件
- 丙肝防治培训课件
- 大学生创新创业刘建华课后参考答案
- 用工情况说明格式及范文
- JCT587-2012 玻璃纤维缠绕增强热固性树脂耐腐蚀立式贮罐
- 网络安全策略优化
- 国开大学2023年01月11282《社会学概论(本)》期末考试答案
- 中特第五讲社会建设天津大学
- 密封条范文模板(A4打印版)
- 施工现场安全交底15篇
评论
0/150
提交评论