单片机数码管秒表_第1页
单片机数码管秒表_第2页
单片机数码管秒表_第3页
单片机数码管秒表_第4页
单片机数码管秒表_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 电子系统创新设计与实践课程期末论文(20162017年度第一期)得分评卷人题 目单片机数码管秒表专 业软件工程班 级15F学 号37姓 名李国二一六年十二月 摘要 近年来随着科学技术的发展,单片机的应用正在不断走下面还深入。本文简单阐述了基于单片机的数字秒表的的设计。本设计的主要特点是计时精度达到0.01秒,是各种竞赛的必要设备之一。 本设计的数字秒表采用AT89C51单片机为主要器件,利用其定时器的原理,结合显示电路、LED数码管以及外部外部中断电路来设计计时器。将软硬件结合起来,使得系统能实现099.99秒的计时,计时精度位0.01秒。实验目的:数字秒表具有显示直观、读取方便、精度高等优

2、点,在计时中广泛应用。本设计中用单片机和数码管组成数字秒表力求结构简单。设计中包括硬件电路的设计和系统程序的设计。硬件电路主要有主控制器、控制按钮与显示电路组成。主控制器采用单片机AT89C51,显示电路采用四位共阴极数码管显示计时时间。本设计利用AT89C51单片机的定时器,使其能精确计时。利用中断系统使其实现启动和暂停的功能,P0口输出段码数据,P2.0P2.2连上译码器作为位选,P3.2和P3.3接口的两个按钮分别实现启动和暂停功能。设计的基本要求是正确性。计时器采用T0中断实现,定时溢出中断周期为1ms,当溢出中断后向CPU发出溢出中断请求,每发出10次中断请求就对10ms位(即最后一

3、位)加一,达到100次就对100ms位加一,以此类推,直到99.99s为止。 再看按键的处理。两个按键采用中断的方法,设置外部中断0和外部中断1位脉冲边沿触发方式,这样一来每当按键按下时便会触发中断,从而实现启动和暂停。设计计时器的流程:定时器中断入口重装计数初值中断次数加1中断次数到10吗中断次数清零,0.01秒位加一0.01秒位到10吗0.01秒位清零,0.1秒位加一0.1秒位到10吗0.1秒位清零,1秒位加一1秒位到10吗1秒位清零,10秒位加一10秒位到10吗10秒位清零中断返回程序源代码如下:#includeUnsigned int data table=0x3f,0x06,0x5b

4、,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f; /显示码值unsigned int i,j,k,l,count;void delay(unsigned int z) /延时程序unsigned int x,y;for(x=z;x!=0;x-)for(y=110;y!=0;y-);void main()TMOD=0x01; /设置定时器为模式1TH0=(65536-1000)/256; /给定时器赋定时初值TL0=(65536-1000)%256; EA=1; /开中断EX0=1;EX1=1;ET0=1;IT0=1; /设置外部中断位 IT1=1; while(1) /

5、 数码显示P2=0x03;P0=tablei;delay(1);P2=0x02;P0=tablej;delay(1);P2=0x01;P0=(tablek+0x80);delay(1);P2=0x00;P0=tablel;delay(1);void ex0() interrupt 0 /外部中断0TR0=1; /开定时器,开始计数void ex1() interrupt 2 /外部中断0TR0=0; /停止计数void timer0() interrupt 1 /定时器T0溢出中断TH0=(65536-1000)/256; /重装计数初值TL0=(65536-1000)%256;count+;

6、 /溢出中断次数加一if(count=10)count=0;i+; /溢出10次,0.01s位加一if(i=10)i=0; /0.01s位到10了,清零,0.1s位加一j+;if(j=10)j=0; /0.1s位到10了,清零,1s位加一k+;if(k=10)k=0; /1s位到10了,清零,10s位加一l+;系统总体电路如下图所示:AT89S52单片机为主电路的核心部分,各个电路均与单片机相连,由单片机统筹协调各个电路的运行工作。开始键和暂停键使用了外部中断,所以需要连到单片机的P3.2和P3.3引脚上,这两个I/O口的第二功能是单片机的外部中断0端口和外部中断1端口。显示电路由四位数码管组成,采用动态显示方式,因此有8位段控制和4位位控制,8位段接控制接P0口,P0.0P0.7分别控制数码管的a b c d e f g dp显示,位控制接在P2.0和P

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论